用74ls19274ls161设计七进制一个00~28之间循环计数的秒表,并附带有清零和暂停的功能

 上传我的文档
 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
基于74ls161的简单秒表设计
下载积分:1500
内容提示:基于74ls161的简单秒表设计
文档格式:PDF|
浏览次数:341|
上传日期: 11:24:26|
文档星级:
全文阅读已结束,如果下载本文需要使用
 1500 积分
下载此文档
该用户还上传了这些文档
基于74ls161的简单秒表设计
关注微信公众号利用51单片机,4个数码管设计一个计时器,要求在数码管上显示的数据从0开始每1秒钟加1。_百度知道
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。
利用51单片机,4个数码管设计一个计时器,要求在数码管上显示的数据从0开始每1秒钟加1。
麻烦你了,帮我解决一下吧
我有更好的答案
mov th0,#0CFh
mov tl1,#78置初值,定时10MS
mov th1,#0ech
clr tr0关定时器
clr tr1;***************************************************** ;
暂停键K3,快加键K4程序;***************************************************** here:jb p1.0,hereshow:clr tr1
acall xianshikuaijia:jb p1.2,等待P1.2为0 快加
setb tr1here3:jnb p1.2,here3
here;***************************************************** ;
外部中断INT0子程序-----计时按键K1子程序;***************************************************** zhongduan0: setb tr0计时按键
reti;***************************************************** ;
外部中断INT1子程序----复位按键K2子程序;***************************************************** zhongduan1:clr tr0复位按键
mov 12h,#00h
mov 11h,#00h
aca调用显示子程序
mov r1,#00h
mov r2,#00h
reti;***************************************************** ;
加一子程序;***************************************************** jia1:
r1加1子程序
cjne r1,#0ah ,判断是否到表尾
cjne r2,#0ah,loop
r2,#00hloop:
mov 12h,r1重新赋值
mov 11h,r2
ret;***************************************************** ;
显示子程序;***************************************************** xianshi: mov r7,#022个数码管显示子程序
mov r0,#12hloop5:
mov r6,#088位2进制数
movc a,@a+dptrloop6:
mov p3.0,c
djnz r6,loop6
djnz r7,loop5
ret;***************************************************** ;
定时器T0子程序;***************************************************** yanshi: mov tl0,#2C定时子程序
mov th0,#0CFh
djnz r3,loop7
acall jia1调用加1子程序
acal调用显示子程序
mov r3,#40loop7: reti;***************************************************** ;定时器T1子程序;*****************************************************
dingshi1:mov tl1,#78置初值,定时10MS
mov th1,#0ech
mov 12h,r1
mov 11h,r2
jnb p1.0,show
acall jia1
acall xianshilop7:
reti;***************************************************** tab:db 3fh,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fh
end十一、课程设计心得体会1、 认真审题,看懂题目的要求!选择适当的课题,不益太简单或者太难。做到既能把课题完成又能锻炼自己的能力!2、 根据课题要求,复习相关的知识,查询相关的资料。3、 根据实验条件,找到适合的方案,找到需要的元器件及工具,准备实验。4、 根据课程设计的要求和自己所要增加的功能写好程序流程图,在程序流程图的基础上,根据芯片的功能写出相应的程序。然后再进行程序调试和相应的修改,以达到能够实现所要求的功能的目的。5、 还要根据实验的实际情况,添加些额外程序来使系统更加的稳定,如开关的消震荡(采用延迟)。6、 程序要尽量做到由各个子程序组成,在有些程序后面最好加注释,这样在程序出错的检查过程中可以更容易查找的到,也更简洁,更明白易懂。7、 该实验的程序可以参考DVCC系列单片机微机仿真实验系统实验指导书中的串并转换实验,也可自己根据自己熟悉的方法来编程。8、 在设计控制开关时,注意2个中断的打开和关闭的先后顺序,否则就会出错。9、 这次的单片机课程设计重点是理论与实际的相结合。不再只读书了。10、 该设计从头到尾都要自己参与,熟悉了对整个设计的过程,更系统的锻炼了自己。
希望可以帮到你.!,中断0; 描述:
该实验通过单片机的定时器&#47,#tab
mov r1;***************************************************** ;
主程序、快加功能,并同时可以用数码管显示,在现实生活中应用广泛;******************************************************; 设计选题:秒表系统设计、外部中断的设置和编程原理。
3.3、 通过此次课程设计能够将单片机软硬件结合起来,显示时间为00~99秒;按“复位”按键:
用AT89C51设计一个2位LED数码显示“秒表”,显示时间为00~99秒,每秒自动加一,拥有正确的计时;计数器定时和记数的原理;
显示子程序,并实现“开始”按键的功能;将P3.3做为外部中断1的入口地址,并实现“清零”按键的功能;所用中断:外部中断INT0;置初值,#8开总中断,具有现实意义。所做工作根据相关的单片机材料、硬件有机的结合起来,利用所学的单片机知识,结合DVCC系列单片机微机仿真实验系统中的软件和硬件(集成电路芯片8032,七段数码管,开关电路及时钟信号电路,按键等)、INT1,定时器T0、T1。7:
薛艳—通信0401班09号,#2Ch
,定时器0,1
mov dptr;******************************************************
主程序入口地址
ajmp zhongduan0中断0入口地址
定时器T0入口地址
ajmp zhongduan1中断1入口地址
ajmp dingshi1定时器T1入口地址
org 0030h,开始计数,数码管显示从00开始每秒自动加1,#00h
mov r2,#40循环次数40
mov tl0,1模式1
mov ie,加一子程序,编写能够实现该项目的软件程序,最后将软,校验。4.意义,显示时间为00~99秒;
一个&开始&键,一个&复位&键,一个&暂停&键,一个“快加”键。,定时子程序,每秒自动加1,。另设计一个“开始”按键和一个“复位”按键。再增加一个“暂停”按键和一个“快加”按键(每10ms快速加一)。按键说明.原理图:LED数码管,计时器,2.功能,每秒自动加1。另设计一个“开始”按键和一个“复位”按键,计时键子程序,清0键子程序,结合dvcc实验箱上的集成电路芯片8032,#00h
mov r3,1.2管脚做为外部中断0的入口地址;将P3。其中本实验设计了四个开关按键:其中一个按键按下去时以1秒加一开始计时,即秒表开始键(本实验中当开关从1变为0时开始计时),另一个按键按下去时暂停计时,使秒表停留在原先的计时(本实验中当相应开关从1变为0时即停止计时),第三个按键按下去时清0(本实验中当相应开关从1变为0时即停止计时),第四按键按下去则是以每10ms秒快速加一计时(本实验中当开关从1变为0时开始计时)。本实验中开始时都要使各按键回到各初始位置;***************************************************** main: mov tcon,#05主程序开始 外部中断跳变模式
mov tmod,#11定时器0。其中“开始”按键当开关由1拨向0(由上向下拨)时开始计时;“清零”按键当开关由1拨向0(由上向下拨)时数码管清零,此时若再拨“开始”按键则又可重新开始计时;所用特殊寄存器; 调用子程序:暂停键子程序:10、实验程序;计数器定时和计数原理,设计简单的计时器系统:按“开始”按键; 实验作者:
3.1、 通过本次课程设计加深对单片机课程的全面认识复习和掌握,对单片机课程的应用进一步的了解。
3.2、 掌握定时器,进行有效的调试:寄存器A,寄存器C,即都处于1状态。关键词,对程序进行编辑,数码管显示当时的计数;按“快加”按键,系统每10ms快速加1,即数码显示管在原先的计数上快速加1。6.设计思路及描述:该实验要求进行计时并在数码管上显示时间,则可利用DVCC系列单片机微机仿真实验系统中的芯片8032(芯片的功能类似于芯片AT89C51,其管脚功能也和AT89C51的管脚功能类似)中的P3:
2位LED数码显示&秒表&.0做为数据信号DATA输入的入口地址;将P3.1做为时钟信号CLK输入的入口地址。定时器T0作为每秒加一的定时器;定时器T1作为“快加”键的定时器、LED数码管以及实验箱上的按键来设计计时器。并且增加了一个“暂停”按键和一个“快加”按键(每10ms快速加一)3.实验目的,系统清零,数码管显示00;按“暂停”按键,系统暂停计数,达到完成该实验课程设计的目的要求。5.实验内容。将软、硬件有机地结合起来,使得系统能够正确地进行计时,数码管能够正确地显示时间、暂停、清零:用AT89C51设计一个2位LED数码显示“秒表”系统设计1.实验内容摘要
本实验利用单片机的定时器&#47
采纳率:4%
P2=0; P2=1,uint bai,}void xianshi(uint qian/reg52;
xianshi(1000;
shi=b%/ P2=2;256;/初始化子函数, P0=table[qian],shi.h&#define uint unsigned int P0=table[shi]; delay(50);
//初始化函数 void delay(unsigned int i); ET0=1; TR0=1,0x71};
} }void exter1() interrupt 1{ TH0=()/256; TL0=()%256,bai,ge);装初值 }void delay(unsigned int i){ while(i--),0x07,0x7f,uint ge){ P2=3; P0=table[ge]; delay(50);/装初值
TL0=()%256,shi,0x6f,0x77,unsigned char code table[]={0x3f,0x4f,0x66;/ P1=~P1;
a=0,0x5e,0x79;
/&#47,uint bai, TMOD=0x01; TH0=()/10;
ge=b%,0x06,0x5b; a++; }void chushihua(){ EA=1;
/&#47,0x6d,0x7d,0x7c,0x39;#include&
if(b==6529)
while(1) {
/延时函数 void xianshi(
&#47,uint ge); //void chushihua();
qian=b/ P0=table[bai]; delay(50);**************************************************************************************倒计时**************************************************************************************/显示函数 void main(){ int b=6543; chushihua()
我刚刚写好的 我的数码管是共阳的 用中断函数来写#include&reg52.h&#define uint unsigned int#define uchar unsigned charuchar code table[]=
{0xc0,0xf9,0xa4,0xb0, 0x99,0x92,0x83,0xf8, 0x80,0x90,0x88,0x83, 0xc6,0xa1,0x86,0x8e};uint num,a;uchar bai,shi,void init();void delay(uint);void display(ucharbai,ucharshi,ucharge);uint fb();uint fs();uint fg();void main() {
display(fb(),fs(),fg()); } }void init() {
TMOD=0x01;
TH0=()/256;
TL0=()%256;
TR0=1;}void display(ucharbai,ucharshi,ucharge) {
P0=table[bai];
P0=table[shi];
P0=table[ge];
delay(1); }void
timeoff() interrupt 1{
TH0=()/256;
TL0=()%256;
if(a%20==0)
if(num==999)
}}void delay(uint z){ uint x,y; for(x=z;x&0;x--)
for(y=110;y&0;y--);}uint fb(){
bai=num/100;}uint fs(){
shi=num%100/10;}uint fg(){
ge =num%100%10;}
这个不难的 你自己动手练习一下就会了的
哥们我刚学完汇编语言,不太熟练啊,你能帮帮我吗
汇编呀 汇编还真帮不上忙
你也是会C语言的吧
哦,那谢谢你了
本回答被网友采纳
1条折叠回答
为您推荐:
其他类似问题
您可能关注的内容
51单片机的相关知识
换一换
回答问题,赢新手礼包君,已阅读到文档的结尾了呢~~
数电课程设计,电气系的学弟们,知道你们不会做,学长特意上传了本文档,助你漂亮的完成设计。
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
邵阳学院电子秒表课程设计
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer--144.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口君,已阅读到文档的结尾了呢~~
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
电子秒表的设计与制作毕业论文
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口工具类服务
编辑部专用服务
作者专用服务
基于74LS161的简单秒表设计
以74LS161(同步二进制加计数器)作为计数的核心元件,利用74LS00二输入与非门以及74LS20四输入与非门的关系,实现秒表0-59的计数,并且在计数过程中具有复位(清零)和暂停的功能。其数字通过七段数码管显示。
作者单位:
西南科技大学,四川 绵阳,621010
年,卷(期):
在线出版日期:
本文读者也读过
相关检索词
万方数据知识服务平台--国家科技支撑计划资助项目(编号:2006BAH03B01)(C)北京万方数据股份有限公司
万方数据电子出版社

我要回帖

更多关于 74ls193设计计数器 的文章

 

随机推荐