〖求助〗有人做过数电课程设计设,乒乓球游戏机的

硕士/研究生
&&&&&&DOC文档下载
游客快捷下载
会员登录下载
下载资源需要5元
邮箱/手机号:
您支付成功后,系统会自动为您创建此邮箱/手机号的账号,密码跟您输入的邮箱/手机号一致,以方便您下次登录下载和查看订单。
支付方式:
已注册用户请登录:
当日自动登录&&
&&合作网站一键登录:
2:本站资源不支持迅雷下载,请使用浏览器直接下载(不支持QQ浏览器)
3:本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰&&&
EDA课程设计--乒乓球游戏机
1EDA技术课程设计课题乒乓球比赛游戏机系别电气与电子工程系专业电子信息工程姓名学号指导教师河南城建学院2012年6月日2成绩评定一、指导教师评语(根据学生设计报告质量、答辩情况及其平时表现综合评定)。二、课程设计评分成绩2012年6月日3目录一、设计目的1二、设计要求1三、总体设计方案要求41、设计的总体原理42、设计内容6四、EDA设计与仿真61、系统程序设计62、系统程序各功能介绍123、仿真结果及数据分析13五、硬件实现141、硬件实现步骤142、硬件实现结果16六、设计总结20七、参考文献21八、设计生成的电路总图214一、设计目的通过对FPGA(现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD(可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据用户的要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、工程绘图能力、撰写技术报告和编制技术资料的能力,受到一次电子设计自动化方面的基本训练。培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,使学生积累实际EDA编程。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。二、设计要求1、设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。2、用8个或更多个LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。3、当“球”点亮的那只LED运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。4、一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。5、设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。6、甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。三、总体设计方案要求1设计的总体原理本实验主要部分设计采用状态机设计,设置了7个状态,分别是“等待发球状态”、“第一盏灯亮状态”、“第八盏灯亮状态”、“球向乙移动状态”、“球向5甲移动状态”、“允许甲击球状态”,“允许乙击球状态”。这是该程序中起决定作用的七个状态。开始的时候处于“等待发球状态”,若甲发球则状态转移到“第一盏灯亮状态”,若乙发球则转移到“第八盏灯亮状态”,具体说明以甲球为例。若发球后乙没有提前击球规定球移动到对方第一个发光二极管时允许击球,那么状态机从“第一盏灯亮状态”转移到“球向乙移动状态”;若在“球向乙移动状态”乙仍然没有提前击球,状态就转移到“允许乙击球状态”,在此状态下,如果乙击球了,那么状态就转移到“球向甲移动状态”;在“第一盏灯亮状态”,“球向乙移动状态”中,如果乙击球了,就算提前击球,这样甲得分,状态转移到“等待发球状态”等待发球,“球向甲移动状态”之后的过程和前面的过程只不过是甲乙角色的调换而已。本设计流程图与乒乓球游戏机原理图如下甲乙否否NNYY游戏开始正确接发球大于21甲方加分乙方加分大于21乙方胜利甲方胜利游戏结束图31设计流程图6甲得分乙得分甲发球乙发球乙击球甲击球乙击球甲击球乙没击球甲没击球2设计内容用8个或更多个LED排成一条直线来模拟乒乓球的轨迹,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。当“球”点亮的那只LED运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计数满21分为1局。甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。四、EDA设计及仿真(设计程序、仿真波形图)1、系统程序设计LIBRARYIEEEUSEIEEESTD_LOGIC_1164ALLUSEIEEESTD_LOGIC_ARITHALLUSEIEEESTD_LOGIC_UNSIGNEDALLENTITYMYDECODERIS等待发球第一盏灯亮球向乙移动允许乙发球第八盏灯亮球向甲移动允许甲发球图32乒乓球游戏机原理图7PORTBINARYININSTD_LOGIC_VECTOR1TO55位二进制码的输入端口BCDOUT1OUTSTD_LOGIC_VECTOR1TO4七段译码器输出端口BCDOUT2OUTSTD_LOGIC_VECTOR1TO4ENDMYDECODERARCHITECTUREMOFMYDECODERISSIGNALTEMBINARYINSTD_LOGIC_VECTOR1TO5BEGINPROCESSBINARYINBEGINTEMBINARYINBCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1BCDOUT1CASESERVEIS进程处于等待发球状态WHEN10IIIIIIIFHIT11THEN进程处于球向乙移动状态IIFHIT21THEN进程处于球向乙移动状态IIFHIT11THENIFHIT21THENI0ANDJ5ANDJ0THENSPEAKERCLK1ENDIFENDIFENDIFENDPROCESSP3LIGHTWHENI1ELSE进程处I信号控制发光二极管的亮暗WHENI2ELSEWHENI3ELSEWHENI4ELSEWHENI5ELSEWHENI6ELSEWHENI7ELSEWHENI8ELSE其他情况所有发光二极管都暗U0MYDECODERPORTMAPCOUNT1,SCORE11,SCORE12用七段译码器显示甲的分数U1MYDECODERPORTMAPCOUNT2,SCORE21,SCORE22用七段译码器显示乙的
本文(EDA课程设计--乒乓球游戏机)为本站会员(jiquhe72)主动上传,金锄头文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
若此文所含内容侵犯了您的版权或隐私,请立即阅读金锄头文库的“”【网址:】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!
温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
分享当前资源【EDA课程设计--乒乓球游戏机】到朋友圈,您即可以免费下载此资源!
微信扫一扫分享到朋友圈
操作提示:任选上面一个二维码,打开微信,点击“发现”使用“扫一扫”,即可将选择的网页分享到朋友圈
您可能感兴趣的------------------------------------------------------------------------------------------------------
元price_share
&|&川公网安备 12号&|&经营许可证(蜀ICP备号-1)(C) by Sichuan Goldhoe Inc. All Rights Reserved.有谁做过这个FPGA课程设计的?基于 FPGA乒乓球比赛游戏机 - FPGA|CPLD|ASIC论坛 -
中国电子技术论坛 -
最好最受欢迎电子论坛!
后使用快捷导航没有帐号?
有谁做过这个FPGA课程设计的?基于 FPGA乒乓球比赛游戏机
21:35:57  
设计要求:(1) 设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。 (2) 用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。(3) 当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。(4) 一方得分时,电路自动响铃3s,这期间发球无效,等铃声停止后方能继续比赛。(5) 设置自动记分电路,甲、乙双方各用两位数码管进行记分显示,每计满11分为1局。(6) 甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。---------------------------------------------------------------------------------------------------------------------------------------------------------这里面谁做吗?&&能不能交流下 ,做不来 实在是捉急啊!!!! 加Q
21:36:42  
万分感谢!!!
21:37:54  
有谁做过这个课程设计的 能不能指导下?
万分感谢!!!!
21:42:20  
新人 求助攻
11:05:51  
还没人来嘛?谁做过 指导下嘛
22:24:07  
哈哈,你这是课题八是吧?
22:13:19  
哈哈,你这是课题八是吧?
这都能碰到熟人啦! 你哪个 做完了没? 我想死的心都有了,该咋办哦
10:54:21  
我的帖子你不看&&写好的
13:14:19  
http://bbs.elecfans.com/jishu__1.html
我的帖子你不看&&写好的
哈哈&&谢谢你啊!
Powered by
供应链服务
版权所有 (C) 深圳华强聚丰电子科技有限公司 上传我的文档
 下载
 收藏
粉丝量:799
该文档贡献者很忙,什么也没留下。
 下载此文档
数电课程设计基于Multisim的乒乓球游戏机控制电路设计
下载积分:2000
内容提示:数电课程设计基于Multisim的乒乓球游戏机控制电路设计
文档格式:DOC|
浏览次数:110|
上传日期: 12:56:51|
文档星级:
全文阅读已结束,如果下载本文需要使用
 2000 积分
下载此文档
该用户还上传了这些文档
数电课程设计基于Multisim的乒乓球游戏机控制电路设计
关注微信公众号乒乓球游戏机设计.doc_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
乒乓球游戏机设计.doc
阅读已结束,下载本文需要
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,同时保存到云知识,更方便管理
还剩13页未读,继续阅读
定制HR最喜欢的简历
你可能喜欢数电课程设计乒乓球游戏机_图文_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
数电课程设计乒乓球游戏机
阅读已结束,下载本文需要
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,同时保存到云知识,更方便管理
还剩3页未读,继续阅读
定制HR最喜欢的简历
你可能喜欢

我要回帖

更多关于 数字电路课程设计 的文章

 

随机推荐