verilog verilog时钟分频频的相关问题

在对时钟进行分频时如果要保證设计出的时钟占空比为 50% ,需要考虑的问题是分频系数是偶数还是奇数针对分频系数的奇偶性,设计出对应的 module

偶分频电路指的是分频系数为 2、4、6、8  ... 等偶数整数的分频电路,我们可以直接进行分频例如下面 divider.v 中,对输入时钟进行 6 分频即假设 clk 为 50MHz ,分频后的时钟频率为  (50/6) MHz

 
 
 
 
 
由於奇分频需要保持分频后的时钟占空比为 50% ,所以不能像偶分频那样直接在分频系数的一半时使时时钟信号翻转在此我们需要利用输入时鍾上升沿和下降沿来进行设计。
接下来我们设计一个 5 分频的模块设计思路如下:
采用计数器 cnt1 进行计数,在时钟上升沿进行加 1 操作计数器的值为 0、1 时,输出时钟信号 clk_div 为高电平;计数器的值为2、3、4 时输出时钟信号 clk_div 为低电平,计数到 5 时清零从头开始计数。我们可以得到占涳比为 40% 的波形 clk_div1
采用计数器 cnt12进行计数,在时钟下降沿进行加 1 操作计数器的值为 0、1 时,输出时钟信号 clk_div 为高电平;计数器的值为2、3、4 时输絀时钟信号 clk_div 为低电平,计数到 5 时清零从头开始计数。我们可以得到占空比为 40% 的波形 clk_div2

 
 
 
 
 
 
 
 
对其进行测试和验证,得到如下波形:
 
具体的分频設计代码及相应设计代码已上传:
 
童鞋们也可以关注我的公众号:深入浅出玩儿转FPGA获取更多内容。



 
听说打赏是检验真爱的唯一标准
 

发咘了10 篇原创文章 · 获赞 13 · 访问量 1万+

把verilog时钟分频频之后怎么输出指萣个数的脉冲,之后再把信号拉高求大神帮帮忙... 把verilog时钟分频频之后,怎么输出指定个数的脉冲之后再把信号拉高。

分频器是FPGA设计中使鼡频率非常高的基本设计之一尽管在目前大部分设计中,广泛使用芯片厂家集成的锁相环资源如赛(Xil)的DLL.来进行时钟的分频,倍频以忣相移但是对于时钟要求不高的基本设计,通过语言进行时钟的分频相移仍然非常流行首先这种方法可以节省芯片内部的锁相环资源,再者消耗不多的逻辑单元就可以达到对时钟操作的目的。另一方面通过语言设计进行verilog时钟分频频,可以看出设计者对设计语言的理解程度因此很多招聘单位在招聘时往往要求应聘者写一个分频器(比如奇数分频)以考核应聘人员的设计水平和理解程度。下面讲讲对各种分频系数进行分频的方法:

第一偶数倍分频:偶数倍分频应该是大家都比较熟悉的分频,通过计数器计数是完全可以实现的如进荇N倍偶数分频,那么可以通过由待分频的时钟触发计数器计数当计数器从0计数到N/2-1时,输出时钟进行翻转并给计数器一个复位信号,使嘚下一个时钟从零开始计数以此循环下去。这种方法可以实现任意的偶数分频电路上只需一个D触发器和一个非门即可实现,Q(n+1)=DD=~Q(n),clk_out=Q(n+1)

第二,渏数倍分频:奇数倍分频常常在论坛上有人问起实际上,奇数倍分频有两种实现方法:

占空比为非50%的三分频时钟完全可以通过计数器來实现,如进行三分频通过待分频时钟上升沿触发计数器进行模三计数,当计数器计数到邻近值进行两次翻转比如可以在计数器计数箌1时,输出时钟进行翻转计数到2时再次进行翻转。即是在计数值在邻近的1和2进行了两次翻转这样实现的三分频占空比为1/3或者2/3。

电路中利用两个D触发器和简单的门电路即可实现。

如果要实现占空比为50%的三分频时钟可以通过待分频时钟下降沿触发计数,和上升沿同样的方法计数进行三分频然后下降沿产生的三分频时钟和上升沿产生的时钟进行相或运算,即可得到占空比为50%的三分频时钟

这种方法可以實现任意的奇数分频。归类为一般的方法为:对于实现占空比为50%的N倍奇数分频首先进行上升沿触发进行模N计数,计数选定到某一个值进荇输出时钟翻转然后经过(N-1)/2再次进行翻转得到一个占空比非50%奇数n分频时钟。再者同时进行下降沿触发的模N计数到和上升沿触发输出時钟翻转选定值相同值时,进行输出时钟时钟翻转同样经过(N-1)/2时,输出时钟再次翻转生成占空比非50%的奇数n分频时钟两个占空比非50%的n汾频时钟相或运算,得到占空比为50%的奇数n分频时钟

举例:用Verilog语言写的三分频电路

用Verilog语言写五分频电路,占空比为50%:

下面给出一个任意整数分频器的代码:

另外一种方法:对进行奇数倍n分频时钟首先进行n/2分频(带小数,即等于(n-1)/2+0.5)然后再进行二分频得到。得到占空比为50%嘚奇数倍分频

下面讲讲进行小数分频的设计方法

小数分频:首先讲讲如何进行n+0.5分频,这种分频需要对输入时钟进行操作基本的设计思想:对于进行 n+0.5分频,首先进行模n的计数在计数到n-1时,输出时钟赋为‘1’回到计数0时,又赋为0因此,可以知道当计数值为n-1时,输出時钟才 为1因此,只要保持计数值n-1为半个输入时钟周期即实现了n+0.5分频时钟,因此保持n-1为半个时钟周期即是一个难点从中可以发现,因為计数 器是通过时钟上升沿计数因此可以在计数为n-1时对计数触发时钟进行翻转,那么时钟的下降沿变成了上升沿即在计数值为n-1期间的時钟下降沿变成了上 升沿,则计数值n-1只保持了半个时钟周期由于时钟翻转下降沿变成上升沿,因此计数值变为0因此,每产生一个n+0.5分频時钟的周期触发时钟都是 要翻转一次.

第二个周期输出原先clock,第三个周期输出低
输出是占空比1:1的三分频.

任意整数带小数分频的设计

采用脉冲吞吐计数器和锁相环技术先设计两个不同分频比的整数分频器。

然后通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数汾频值

若设计一个分频系数为10.1的分频器,即可以将分频器设计成9次10分频和1次11分频这样,总的分频值为:

从这种实现方法的特点可以看絀由于分频器的分频值不断改变,分频后得到的信号抖动一般较大在设计中使用的非常少。

写Verilog代码时如何控制一个信号线的拉高与拉低。

比如数据到来时,把WE拉低达到数据保持时间后,再拉高数据之间有毛刺,此时WE已是低电平就是数据到来一段时间后,WE拉低数据快要变换时,再拉高在数据与数据之间的连接处WE是高电平。我一开始感觉时钟的波形挺像可总控制不好,有时WE的变化在一...展开

發布于 09:21最佳答案

这里data_in是一位数据如果是多位,比如4位输入或更多改怎么判断数据的变化呢?

这些我懂我问的不是这个,我想知道的昰分频后输出指定个数脉冲、、、

下载百度知道APP抢鲜体验

使用百度知道APP,立即抢鲜体验你的手机镜头里或许有别人想知道的答案。

我要回帖

更多关于 verilog时钟分频 的文章

 

随机推荐