小批量电子元器件件上VO+、GND、IN+、EN是啥意思,怎么接线

点击文档标签更多精品内容等伱发现~


VIP专享文档是百度文库认证用户/机构上传的专业性文档,文库VIP用户或购买VIP专享文档下载特权礼包的其他会员用户可用VIP专享文档下载特權免费下载VIP专享文档只要带有以下“VIP专享文档”标识的文档便是该类文档。

VIP免费文档是特定的一类共享文档会员用户可以免费随意获取,非会员用户需要消耗下载券/积分获取只要带有以下“VIP免费文档”标识的文档便是该类文档。

VIP专享8折文档是特定的一类付费文档会員用户可以通过设定价的8折获取,非会员用户需要原价获取只要带有以下“VIP专享8折优惠”标识的文档便是该类文档。

付费文档是百度文庫认证用户/机构上传的专业性文档需要文库用户支付人民币获取,具体价格由上传人自由设定只要带有以下“付费文档”标识的文档便是该类文档。

共享文档是百度文库用户免费上传的可与其他用户免费共享的文档具体共享方式由上传人自由设定。只要带有以下“共享文档”标识的文档便是该类文档

还剩75页未读, 继续阅读

数字电子技术实验报告

通过實验培养学生的市场素质工艺素质,自主学习的能力分 析问题解决问题的能力以及团队精神。

通过本实验要求学生熟悉各种常用中规模集成电路组合逻辑电路 的功能与使用方法 学会组装和调试各种中规模集成电路组合逻辑电 路,掌握多片中小规模集成电路组合逻辑电蕗的级联、功能扩展及综 合设计技术使学生具有数字系统外围电路、接口电路方面的综合设 计能力。

左侧有 16 个按键 编号为 0 到 15 数字, 面板右侧有 2 个共阳 7

设计要求:当按下小于 10 的按键后右侧低位 7 段显示器显示数 字,左侧 7 段显示器显示 0;当按下大于 9 的按键后右侧低位 7 段 显礻器显示个位数字, 左侧 7 段显示器显示 1

若同时按下几个按键, 优先级别的顺序是 15 到 0

二、电路框图及原理图 原理图概要

数字显示电路由鍵盘、编码、码制转换、译码显示组成。码译键 盘编 码各部分作用

用于 0~15 数字的输入

可以由 16 个自锁定式的按键来排列成4 × 4 键盘。

采用两片 74LS148 級联来完成对 0~15 的编码并且是具有优先级 的编码。

本电路采用了 2 个 74LS00、1 个 74LS04、1 个 74LS283 来完成对 0~15 出事编码的码制转换转换成个位与十位的 8421BCD 码,为下 ┅步的解码做准备4.译码显示

本电路采用了两个 74LS47 分别对码制转换后的 BCD 码进行译码, 并且由这两个芯片分别驱动两片七段共阳极数码管

及基本原理分析:设计原理

(1)编码:用预先规定的方法将文字、数字或其他对象编成数码, 或将信息、数据转换成规定的电脉冲信号本實验将编码部分 每一个编码芯片只将 0-7 分别编码为 8421BCD 码。

(2)码制转换:将两片 74LS148 编码的结果分别转化成个位和十位 的 BCD 码输入到下一级

(3)译碼显示:译码是编码的逆过程,把转码以后的个位与十位分 别解码并且驱动共阳极数码管。

四、单元电路分析元件介绍和元件参数计算:1.键盘:4 × 4键盘的布局如下1 23 4 5 67 8 9 .

编码:编码芯片采用的是 74LS148.管脚图如下,5 号管脚是编码使能端一定要接低电平芯片才会进行编码。

采用 74LS283 配合與非门以及非门来实现的

译码驱动:采用 74LS47 驱动共阳极数码管。

74LS47 管脚图如下数码管管脚图如下五、焊接过程中的注意事项

焊接之前,我們分别测量了每个开关的两个导通级即闭合开关 时能够导通的管脚,然后再进行焊接但是焊接完毕后我们进行了调 试,在应该显示数芓 3、4、7 的时候数码管无显示我们使用万用表 进行逐点检查,发现有几个芯片管脚虚焊导致接触不良,无结果输 出经过修改后终于能夠正常显示了。

与创新点建议:板子焊接时一定要注意焊点是否焊实,不能出现虚焊或者焊接不 良的焊点还有就是注意节点之间的连接是否正确,节点比较多时 一定要细心,不可出现漏焊的情况

数字电路实验一体化教程 ,侯建军北京:清华大学出版社, 2005 年

电子技术基础实验与课程设计, 高吉祥 北京

电子工业出版社, 2002 年

电子技术基础实验,陈大钦武汉:华中科技大学出版社,2001 年

电子线路設计、实验、测试,谢自美武汉:华中科技大学出版 社,2000 年

九、仿真报告:电工电子

基地 实 验 报 告实验课程:数码管显示实验 实验名稱:数码管显示电路 小组成员:韩 栾 笑 越 137 自动化 1002_ 自动化 1002_ 自动化 1002李梦琦一、实验题目:数字显示电路 时序逻辑电路包含组合电路和存储电路兩部分。在时序逻辑电 路中任何一个时刻的输出信号不仅取决于当时的输入信号,而且还 取决于电路原来的工作状态即与原来的输入信号和输出信号有关。

由于时序逻辑电路要记忆以前的输入和输出信号 所以存储电路是不 可缺少的。存储电路可以由触发器构成也可鉯由带反馈的组合电路 组成。

可编程彩灯电路实验将传统的四个分离的时序电路:移位寄存 器、计数器(分频器) 、555 定时器和组合电路实驗总和为一个完整 的设计型的时序、组合电路综合实验要求学生熟悉各种常用的 MSI 时序逻辑电路的功能与使用方法,掌握多片 MSI、SSI 时序逻辑電路 的级联及综合设计技术并学会组装和调试各种 MSI 时序逻辑电路。

1、掌握计数器移位存储器电路的原理及应用; 2、掌握比较其后译码器电路的应用方法; 3、掌握 555 电路的应用方法。

1、设计一组可依次循环闪亮的彩灯并且可以通过开关对闪灯 进行编程。

2、分析已给部分电蕗图的电路功能

3、完成振荡部分及分频电路的设计。

4、完成整体电路测试分析实验结果。5、添加附加功能:自动变频、锁定 四、可编程彩灯电路的设计方案、原理及芯片介绍

实验所用芯片介绍 ⑴74LS138 74LS138 为 3 线-8 线译码器其管脚图如下:当一个选通端 (G1) 为高电平, 另两个选通端 (/(G2A)和/(G2B)) 为低电平时可将地址端(A、B、C)的二进制编码在一个对应的输 出端以低电平译出。

它的功能表为:⑵74LS1 是 3 位-8 位译码器当一个选通端为高电平,另两个 选通端低电平时可将地址端(A、B、C)的二进制编码在一个对应 的输出端以低电平译出。

74LS138 管脚图如下内部结构如下:逻辑功能实现如下:⑵74LS161 74LS161 异步清零当清除端 CR 为低电平时,即可完成清除功 能74LS161 同步预置。当置入控制端 LD 为低电平时在 CP 上升沿 作用下,輸出端(Q0~Q3〕与数据输入端(D0~D3)一致74LS161 的 计数是同步的, CP 同时加在四个触发器上而实现的

CTP 和 CTT 靠 当 均为高电平时, CP 上升沿作用下时输出端(Q0~Q3)同时變化 在 从而 消除了异步计数器中出现的计数尖峰。74LS161 有超前进位功能当 计数溢出时,进位输出端(CO)输出一个高电平脉冲其宽度为 Q0 的 高电岼部分。

定时器的管脚图、内部结构图如 图:TH

控制电压输入端 OUT

复位输入端用 555 电路可以构成施密特触发器,利用施密特触发器的回差特性,在电蕗的两个输入端与地之间接入充放电电容C,并在输出和输入 端之间接入反馈电阻,就组成了一个反馈振荡器.基本原理:接通电源, 由于电容两端电壓不能突变,定时器的 2 端为低电平,输出端 3 端为高 电平,电源经过 R1 和 R2 给电容充电,当电容电压达到点远 2/3 时,555 内部的 NMOS 管导通,输出低电平,电容通过 R2 和 NMOS 管放電,当电容 两端电压下降到电源电压 1/3 时, NMOS 管截止电容放电停止,电 源通过 R1、R2 再次向电容充电.

tw2=0.7R2*C1;电路模块设计及原理 ⑴基准频率产生与分频电蕗 用 555 定时器组成秒脉冲发生器,通过合理设置参数可直接振荡 出 HZ 的信号,通过不同模的分频器可产生不同频率的信号.

用 555 电路可以构成施密特触發器,利用施密特触发器的回差特 性,在电路的两个输入端与地之间接入充放电电容C,并在输出和输入端之间接入反馈电阻,就组成了一个反馈振蕩器.基本原理:接通电源, 由于电容两端电压不能突变,定时器的 2 端为低电平,输出端 3 端为高 电平,电源经过 R1 和 R2 给电容充电,当电容电压达到点远 2/3 时,555 内蔀的 NMOS 管导通,输出低电平,电容通过 R2 和 NMOS 管放电,当电容 两端电压下降到电源电压 1/3 时, NMOS 管截止电容放电停止,电 源通过 R1、R2 再次向电容充电.

F J3 Key = A1LM555CN74L161 为四位二進制同步计数器具有计数、预置及异步清 0功能。计数器的同步工作是靠时钟加在所有触发器上来实现的 因此 当控制逻辑发出指令时,各输出端同时进行加一计数分频。

电路设计为两个频率的输出即 OUT 端的两个开关来分别控制两 个不同的频率输出。同时导通时即没有脉沖可以实现彩灯的暂停。

当有信号输入时共同工作通过移数控制 8 个灯 的亮灭情况CLR 端连接高电平使其失效。当 LOAD 为低电平时在 正沿脉冲嘚作用下,执行并行送数功能为高电平时为移位功能。当3 2 1C B A9 1J 和~K 同时为 0 时移位后在第一位补 0,同时为 1 时移位后在 第一位补 1, 第一块 74LS195 的 J 囷 K 端连接高电平 第二块 74LS195 的 J 和 K 端连接第一块的 QD 端。

实验中共用到 16 个发光二极管基本功能是通过控制开关,实现 不同个数彩灯的依次亮灭

开关 K1 闭合时,彩灯将此刻状态停留不再进行循环。

八脚拨码开关1 1 1 1 2 2 1 1 1 1 8 8 6 2 1 1 若干 若干焊接前我们查了所有芯片的管脚图,然后才进行焊接并苴测量了 能使彩灯发光的电源值。焊接结束后测试时发现所出的结果和预期 结果不同,我们检查了所有的芯片和彩灯发现有一片 74LS161 芯片囷一个蓝灯是坏的, 在换上好的芯片和小灯后后发现结果还是不 对,于是我们重新又检查电路最后终于发现是 74LS04 的一个输入 和输出管脚焊反了,在改正后我们终于出来了正确的结果。

数字电子技术实验报告

数字电子技术实验报告院系

院系:电气工程学院 年级

专业:電气工程及自动化 班号

33 1实验一 组合逻辑电路分析 一、实验目的1、了解熟悉各个逻辑元件的使用

2、熟悉了解组合逻辑电路的分析。二、预習内容1、复习组合逻辑电路的分析的原理和方法

2、复习各种逻辑元件的使用。三、实验元件1、四 2 输入与非门 74LS00 其结构及引脚如图所示

其中 14 號引脚接+5V 高电平7 号引脚接地。其逻辑关系表达式为YA ? B2、双 4 输入与非门 74LS20 其结构及引脚如图所示

密码锁的开锁条件是:拨对密码,钥匙插叺锁眼将电源接通当两个条件同时满足时, 开锁信号为“1” 将锁打开。否则报警信号为“1” ,接通警报分析密码 ABCD 是什么。

0X2 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1即由真徝表可得密码锁的密码为 ABCD=1001六、实验总结1、通过实验重新了解认识了组合逻辑电路分析的步骤由逻辑电路推导出组合逻辑电路的 真值表和邏辑表达式的方法 2、通过这次实验更加了解了了元件 74LSS00 和 74LS20 的内部结构和引脚的使用。4实验二组合逻辑实验( 组合逻辑实验(一) 半加器和全加器一、实验目的熟悉用门电路设计组合电路的原理和方法步骤二、预习内容1、 复习用门电路设计组合逻辑电路的原理和方法 2、 复习二进淛数的运算 3、 利用下列元器件完成

(1) 完成“异或”门、 “与非”门、 “与或非”门设计全加器的逻辑图

(2) 完成用“异或”门设计的 3 變量判奇电路的原理图。三、实验元件1、 四位全加器 74LS283 其逻辑及引脚图如图所示:其中 16 接+5V 高电平8 端口接地,端口 12、14、3、5 对应的加数的A A A A 端ロ 11、 2、 对应的被加数B B B B , 15、 6 端口 10、 1、 对应的相加的和S S S S 13、 4 端 口 7 对应的为相加前的进位C ,端口 9 对应的相加后的进位C 52、 与或非门 74LS51 其逻辑及引脚圖如图所示

其逻辑及引脚图如图所示端口 14 接+5V 高电平, 端口 7 接高电平,其他端口对应数据符号如图所示 其他端口对应数据符号如图所示邏辑表达 式如上图。

13 9 10 11U2A U3A86Key = 374LS00D 74LS51D如图连接电路按照表格中的数据设置电路数据测量结果记录入表格中。73、 用异或门设计 3 变量判奇电路要求变量中嘚 1 的个数为奇数时,输出为 1否则输出 为 0。

连接元件 74LS283如表格中所示设置全加器数据并测试结果记录入表格。五、实验数据及结果1、用与非门组成半加器被加数 加数 和 新进位逻辑表达式为:S 与理论相符合

AB0 0 0 0A B ;C AB1 0 1 00 1 1 01 1 0 182、 用异或门、与或非门、与非门组成全加器数据表格。被加数 加数 湔级进位 和 新进位逻辑表达式为:S 与理论相符合

2、通过 74LS283 逻辑功能的验证更加熟悉了解了全加器的使用。9实验三组合逻辑实验( 组合逻辑實验(二)数据选择器和译码器的使用 一、实验目的熟悉数据选择器和数据分配器的逻辑功能和掌握其使用方法二、预习内容1、了解所鼡元件的逻辑功能和管脚排列。

2、复习有关数据选择器和译码器的内容

3、用八选一数据选择器产生逻辑函数L A?B?C L A B C。

4、用 3 线-8 线译码器和与非门构成一个全加器A?B?CA?B?CA?B?C和三、实验元件1、数据选择器 74LS151 其管脚端口如图所示:10其逻辑功能如图所示:当使能端 EN=0 是,Y 是A 、A 、A 和输叺数据D ~D 的与或函数其表达式为

Y ∑ m ? D ,式中m 是A 、A 、A 构成的最小项显然当D 1时,其对应的最小 项m 在于或表达式中出现当D 0时,对应的最小项僦不出现2、3-8 线译码器 74LS138 其管脚端口如图所示:74HC138 管脚图:74LS138 为 3 线-8 线译码器, 共有 54/74S138 和 54/74LS138 两 种线路结构型式其工作原理如下

当一个选通端(G1)为高電平,另两个选通端(/(G2A)和/(G2B))为低电平时可 将地址端(A、B、C)的二进制编码在一个对应的输出端以低电平译出。

利用 G1、/(G2A)和/(G2B)可级联扩展成 24 线譯码器;若外接一个反相器还可级联 扩展成 32 线译码器

若将选通端中的一个作为数据输入端时,74LS138 还可作数据分配器

3 线-8 线译码器 74LS138 的功能表洳下图:11四、实验内容1、数据选择器的使用 将数据选择器的地址信号A 、A 、A 作为函数的输入变量, 数据输入D ~D 作为控制信 号控制各最小项在輸出逻辑函数中是否出现,使能端 EN 始终保持低电平这样,八 选一数据选择器就成为一个三变量的函数产生器(1)用八选一数据选择器 74LS151 產生逻辑函数 将表达式写为:L m D m D m D m D 该式符合标准表达式,显然令D 、D 、D 、D 都应该等于 1而式中没有出现的最小 项m 、m 、m 、m ,他们的控制信号D 、D 、D 、D 嘟应该等于 0由此可画出该逻辑 函数产生器的逻辑图。

1即可得其逻辑表达式为:L1 A B 符合全加器逻辑关系完成实验。六、实验总结1、通过该實验对于数据选择器 74LS151 和数据译码器 74LS138 的功能有了更清楚的认识了 解

2、通过自己根据逻辑关系设计电路熟悉和掌握电路的设计。15实验四 触发器和计数器 一、实验目的1、熟悉 J-K 触发器的基本逻辑功能和原理

2、了解二进制计数器的工作原理。

3、设计并验证十进制、六进制计数器②、预习内容1、复习有关 R-S 触发器、J-K 触发器、D 触发器的内容。

2、预习有关计数器的工作原理

3、用 74LS163 和与非门组成四位二进制计数器,十进制計数器六十进制计数器。设计电 路图三、实验元件1、四 2 输入与非门 74LS00 其结构及引脚如图所示

其中 14 号引脚接+5V 高电平,7 号引脚接地其逻辑關系表达式为Y A ? B。此实验中将管脚 12 与 13 或者 10 与 9 或者 1 与 2 或者 4 与 5 连接起来将与非门当做反 相器来使用162、可预置四位二进制计数器 74LS163(同步清零) 74LS163 嘚管脚图如下图所示:74LS163 的真值表如下图所示:P 1 φ 0 1 0T 1 φ 1 0 φ 1 0 1 1 φ 1 1 1 1 0CP ↑ ↑ φ φ φ功 能 计 数 并行输入 保持 保持(RC=0) 清零74LS163 逻辑功能表74LS163 的时序图如下图所示:173、七段译码驱动器 74LS248 七段译码驱动器 74LS248 管脚图如下图所示:七段译码驱动器 74LS248 功能表如下图所示:18三、实验内容及结果 实验内容及结果1、六进制计数器 根据 74LS163 5VGND74LS163D6GNDGND电脑仿真以及实验接线如上图,观察数字等显示为 0~5 的范围及满足六进制计数器

GND操作实验接线如上图,观察数字显示范围为 0~59满足陸十进制规则,试验成功四、实验总结1、通过该实验更加熟悉了解了可预置四位二进制计数器 74LS163 的功能和使用。

2、 通过该实验熟悉掌握了鼡可预置四位二进制计数器 74LS163 设计完成各种进制的计数器22实验五 555 集成定时器 一、实验目的熟悉与使用 555 集成定时器二、预习内容复习有关 555 集荿定时器的内容和常用电路。三、实验元件555 集成定时器

555 时基电路是一种将模拟功能与逻辑功能巧妙地结合在同一硅片上的组合集成电路

該电路可以在最基本的典型应用方式的基础上, 根据实际需要 经过参数配置和电路的重新 组合,与外接少量的阻容元件就能构成不同的電路因而 555 电路在波形的产生与变换、测 量与控制、家用电器、电子玩具等许多领域中都得到了广泛应用。

(一)555 集成定时器的内部结构囷逻辑功能 1.内部结构及逻辑功能23图 1 为 555 时基电路的电路结构和 8 脚双列直插式的引脚图由图可知 555 电路由电 阻分压器、电压比较器、基本 RS 触发器、放电管和输出缓冲器 5 个部分组成。它的各个引 脚功能如下

1 脚:GND(或 Vss)外接电源负端 VSS 或接地一般情况下接地。

3 脚:OUT(或 Vo)输出端

2 脚:TR 低觸发端。

6 脚:TH 高触发端

4 脚:R 是直接清零端。当 R 端接低电平则时基电路不工作,此时不论 TR、TH 处于 何电平时基电路输出为“0”,该端不鼡时应接高电平

CO(或 VC)为控制电压端。

若此端外接电压 则可改变内部两个比较器的基准电压, 当该端不用时应将该端串入一只 0.01μF 电容接哋,以防引入干扰

7 脚:D 放电端。该端与放电管集电极相连用做定时器时电容的放电。电阻分压器由 三个 5kΩ 的等值电阻串联而成电阻汾压器为比较器 C1、C2 提供参考电压,比较器 C1 的 参考电压为 2/3Vcc加在同相输入端,比较器 C2 的参考电压为 1/3Vcc加在反相输入端。

比较器由两个结构相哃的集成运放 C1、C2 组成高电平触发信号加在 C1 的反相输入端, 与同相输入端的参考电压比较后其结果作为基本 RS 触发器 R 端的输入信号;低电岼触发 信号加在 C2 的同相输入端,与反相输入端的参考电压比较后其结果作为基本 RS 触发器 S 端的输入信号。基本 RS 触发器的输出状态受比较器 C1、C2 的输出端控制

在 1 脚接地,5 脚未外接电压两个比较器 C1、C2 基准电压分别为 2/3Vcc,1/3Vcc 的 情况下555 时基电路的功能表如表 1 示。242.555 时基电路的主要参数 555 時基电路的主要参数有电源电压、静态电流、定时精度、阈值电压、阈值电流、触 发电压、触发电流、复位电压、复位电流、放电电流、驅动电流及最高工作频率(二)555 集成定时器的应用 1.单稳态电路 前面介绍的双稳态触发器具有两个稳态的输出状态 和 ,两个状态始终相反而单稳态触发器只有一个稳态状态。在未加触发信号之前触发器处于稳定状态,经触发后触 发器由稳定状态翻转为暂稳状态, 暂穩状态保持一段时间后 又会自动翻转回原来的稳定状 态。单稳态触发器一般用于延时和脉冲整形电路

单稳态触发器电路的构成形式很哆。图下图所示为用 555 定时器构成的单稳态触发器 R、C 为外接元件,触发脉冲 u1 由 2 端输入5 端不用时一般通过 0.01uF 电容接地,以防干 扰下面对照圖进行分析。25(1) 稳态 接通电源后 经 R 给电容 C 充电, uc 上升到大于 当 时 基本 RS 触发器复位, 若不加触发信号,即输出 u0=0同时,晶体管 T 导通使電容 C 放电。此后 uc< u1> (2) 暂稳态 则 u0 保持 0 状态。电路将一直处于这一稳定状态在 t=t1 瞬间,2 端输入一个负脉冲即 u1< ,基本 RS 触发器置 1输出为高电 平, 並使晶体管 T 截止 电路进入暂稳态。

此后 电源又经 R 向 C 充电, 充电时间常数=RC 电容的电压 按指数规律上升。

在 t=t2 时刻触发负脉冲消失(u1> 触发器保持原状态,u0 仍为高电平

在 t=t3 时刻,当 uc 上升略高于 )若 uc< ,则 =1 =1,基本 RS时=0,=1基本 RS 触发器复位,输出u0=0回到初始稳态。同时晶体管 T 导通,电容 C 通过 T 迅速放电直至 uc 为 0这时 =1, =1电路为下次翻转做好了准备。

所需的时间输出脉冲宽度 tp 为暂稳态的持续时间,即电容 C 的电压从 0 充至 由 = (1)得由上式可知

① 改变 R、C 的值可改变输出脉冲宽度,从而可以用于定时控制

② 在 R、C 的值一定时,输出脉冲的幅度和宽度是一定的利用这一特性可对边沿不 陡、幅度不齐的波形进行整形。262.多谐振荡器 多谐振荡器又称为无稳态触发器它没有稳定的输出状态,只有兩个暂稳态在电路处 于某一暂稳态后, 经过一段时间可以自行触发翻转到另一暂稳态

两个暂稳态自行相互转换 而输出一系列矩形波。哆谐振荡器可用作方波发生器

如下图所示是由 555 定时器构成的多谐振荡器。R1、R2 和 C 是外接元件

刚接通电源时,uc=0u0=1。当 uc 升至 后比较器 C1 输出低电平( =0),基本 RS 触发器置 0定时器输出 u0 由 1 变为 0。同时三极管 T 导通,电容通过 R2 放电uc 下降。在 输出低电平( <uc< 期间u0 保持低电平状态。在 uc 下降至 鉯后比较器 C2=0 ),使触发器置 1输出 u0 由 0 变为 1。同时三极管 T 截止于是电容 C再次被充电。如此不断重复上述过程多谐振荡器的输出端就可得箌一串矩形波。工作波形 如下图所示振荡周期等于两个暂稳态的持续时间。第一个暂稳态时间 tp1 为电容 C 的电压 uc 从 充电至 所需时间

放电至 所需时间第 二 个 暂 稳 态 时 间 tp2 为 电 容 C 的 电 压 从 。27四、实验内容及效果1、555 单稳电路 根据 555 集成定时器的内部结构和原理设计 555 单稳电路如下图所示

汸真实验时在运行时间 t=11 时,等熄灭一下运用示波器观察当时时刻波形显示如图所 示:实际操作实验时,指示灯也在约为 11 秒左右时熄灭┅瞬间即实验与理论值基本相同,试 验成功292、555 多谐振荡器 根据 555 集成定时器的内部结构和原理设计 555 多谐振荡器电路如下图所示:VCC 5VVCCGXSC1U1 555_TIMER_RATEDA B C D TR1

f 其中t 成功。301 t t1.43 R 2R C0.7R Ct0.7(RR )C, 根据示波器显示以及比例符合理论值试验3、接近开关(报警器) 根据 555 集成定时器的内部结构和原理设计接近开关电路如下圖所示

导线端口灯亮,及与理论效果相同试验成功。五、实验总结1、 通过该实验不仅让我们从理论上更从实践上熟悉了解了 555 集成定时器嘚内部结构以及 逻辑功能

2、 通过这次实验熟悉掌握了 555 集成定时器的各种用法以及功能。31实验六 数字秒表 一、实验目的1、 了解数字计时装置的及泵年工作原理和简单设计方法

2、 熟悉中规模集成器件和半导体显示器的使用。

3、 了解简单数字装置的调试方法验证所涉及的数芓秒表的功能。二、预习内容1、 N 进制计数器、译码显示电路以及多谢振荡器的工作原理和设计方法

2、 所用期间的功能和外部引线排列。彡、实验元件555 集成定时器、四 2 输入与非门 74LS00、可预置四位二进制计数器 74LS163、双 4 输入与 非门 74LS20、七段译码驱动器 74LS248 以及相关元件四、设计内容及要求1、 设计一个数字秒表电路,电路包含秒脉冲发生器、计数、译码显示范围为:00~59 2、

两个可预置四位二进制计数器 74LS163 构成秒计数器电路, 两個七段译码驱动器 74LS248 以 及电灯构成数码显示器电路其中下方的七段译码驱动器 74LS248 可以不用,可以直接接四 接口电灯

电路中其他元件(开关鉯及四 2 输入与非门 74LS00、双 4 输入与非门 74LS20)构成控制电 路。

其中开关 1 起清零作用开关 2 起暂停作用。七、实验体会这是本学期最后一次实验 也昰考试实验, 该实验

了本学期学习的各个元件特别是 计数器的实验是本学期实验的一大重点 同时自行设计电路也让我们学会将所学知识結合运 用,合理协调配合得到我们想要方案操作实验中我们进行的并不是非常顺利,主要因为之 前没有进行充分的复习准备同时操作時应注意各个接口的接触,以及七段译码驱动器 74LS248 运行需接上拉电阻等细节问题33

数字电子技术实验报告

数字电子技术实验报告 数字电孓技术实验报告姓名:尚朝武 学号:44 实验时间:实验一 (一) 1、实验内容

(1 用静态法测试 74LS00 与非门电路的逻辑功能 2、实验原理图如图 1.11 实验原悝图如图 原理图3、实验步骤

1) 用万用表测量双路跟踪稳压电源中的+5V 电源电压; 2) 检查无误后引用通用接插板; 3) 在芯片盒中找到 74LS00 芯片并插入通用接插板上; 4) 测试与非门的逻辑功能 A.

按图 1.1 接线,检查接线无误后通电; ;-1-B.

设置输入变量 A、B 的高(H) 、低(L)电平并分别测量与非门的输出 電压 U; (U>3.6V 时,则 Y=H(1);反之Y=L(0)) 。

5)用万用表测量输出电压并将结果填入表 1.1.1 中 4、实验结果见表 1.1.1 、 表 1.1.1 输 A L L H H (二 1、实验内容 、 用动态测试法验证图(a)(b)(c)的输入输出波形。

芯片并分别插入通用接插板上; 4)分次按图 a、b、c、d 接线检查接线无误后通电; 设置输入变量 A 的信号为 100kHz 5)汾别记下数字显示器显示的波形。

4、实验结果见下图 、-3-图 a 的输入(图上) 、输出(图下)波形图 b 的输入(图上) 、输出(图下)波形图 c 的輸入(图上) 、输出(图下)波形 三)1、实验内容

(1 用静态法测试 74LS139 静态译码器的逻辑功能 2、实验原理图如图 A、B 3、实验步骤

、实验步骤:-4-1) 利用實验一――(一)的双路跟踪稳压电源中的+5V 电源电压; 2) 检查无误后引用通用接插板; 3) 在芯片盒中找到 74LS139 芯片并插入通用接插板上; 4) 测试 74LS139 译码器的逻辑功能 a) 按图 1.1 接线检查接线无误后通电; ; b) 设置输入变量 A、B 及 E 的高(H) 、低(L)电平,并分别测量 74LS139 的输出电压 U;

1) 利用实验一――(┅)的双路跟踪稳压电源中的+5V 电源电压; 2) 检查无误后引用通用接插板; 3) 在芯片盒中找到 74LS153 芯片并插入通用接插板上;-6-4) 测试 74LS153 数据选择器的逻辑功能 c) 按图 C、D 接线检查接线无误后通电; ; d) 设置输入变量 D0D1D2D3 及 E、S0、S1 的高(H) 、低(L)电平,并分别 测量 74LS153

2、实验原理图 E、F 、 、 3、实验步骤 、 1) 利鼡实验一――(一)的双路跟踪稳压电源中的+5V 电源电压; 2) 检查无误后引用通用接插板; 3) 在芯片盒中找到 74LS74 芯片并插入通用接插板上;-8-4) 测试 74LS74 D 触發器的输入、输出波形 按图 E、F 接线用信号发生器上的连续脉冲作 CP 时钟,检查接线无误后通 电用数字显示器观察并记录的 CP、Q 同步波形; 4、实验结果见图 H 、图 E 74LS74 的管脚图图 F 74LS74 的逻辑图-9-图 H CP、Q 的同步波形二) 1、实验内容 、测试 74LS112 JK 触发器的输入、输出波形。

2、实验原理图 J、K 、 、 3、实验步驟 、 5) 利用实验一――(一)的双路跟踪稳压电源中的+5V 电源电压; 6) 检查无误后引用通用接插板; 7) 在芯片盒中找到 74LS112 芯片并插入通用接插板上; 8) 測试 74LS112 D 触发器的输入、输出波形 按图 J、K 接线检查接线无误后通电,用数字显示器观察并记录的 CP、 JK、Q2、 同步波形; 4、实验结果见图

2、实验原悝图 O、P 、 、 3、实验步骤 、 1) 利用实验一――(一)的双路跟踪稳压电源中的+5V 电源电压;- 11 -2) 检查无误后引用通用接插板; 3) 在芯片盒中找到 74LS161 芯片并插入通用接插板上; 4) 测试 74LS161 同步计数器的输入、输出波形 按图 O、P 接线用信号发生器上的连续脉冲作 CP 时钟,检查接线无误后 通电用数字显礻器观察并记录的

2、实验原理图 R、S 、 、 3、实验步骤 、 5) 利用实验一――(一)的双路跟踪稳压电源中的+5V 电源电压; 6) 检查无误后引用通用接插板; 7) 在芯片盒中找到 74LS163 芯片并插入通用接插板上; 8) 测试 74LS163 的输入、输出波形 按图 R、S 接线,用信号发生器上的连续脉冲作 CP 时钟检查接线无误后 通电,用数字显示器观察并记录的

数字电子技术实验报告

392数字电子技术实验报告册实验一 集成逻辑门电路参数的测试一、 实验目的 (1) 掌握数字实验设备的使用方法

(2) 熟悉数字集成电路手册的使用方法。

二、 实验器材与仪器 (1) 双踪示波器:可以同时测量和观察两路信号的波形测量电路信号波形的幅值、周期等参 数。

(2) 数字万用表:用于测量交流和直流电压、电流、电阻等某些万用表还可以测量三极管、 二极管、电容和频率等。

三、 预习与思考题 (1) 为什么与非门输出端不能直接接+5V?当我们希望输出高电平为+5V 时应怎么办与非门 输出端可以接地嗎? (2) 测量扇出系数 NOL 的原理是什么为什么只计算输出低电平时的负载电流值,而不考虑输出 高电平的负载电流值 (3) 与非门不用的输入端应洳何处理?为什么 (4) 说明 TTL 集成电路与 COMS 集成电路在功耗方面的差异。

四、 实验原理说明 在数字电路设计中我们经常用到一些门电路,对门電路参数的了解有助于电路设计更加正 确可靠。我们以 74LS00 为例学习门电路的主要参数和测试方法。

(1) 与非门的逻辑功能

与非门的逻辑功能为:当输入端中有一个或一个以上是低电平时,输出端为高电平;只有 当输入端全部为高电平时输出端才是低电平。图形符号如图 1-1 所礻, 逻辑表达式为:A BY图 1-1 与非门的逻辑符号(2) TTL 与非门基本参数 1) 低电平输入电流 IIL 低电平输入电流 IIL 是指被测输入端接低电平其余输入端悬空,输出端涳载时由被测 输入端流出的电流值。在多级门电路中IIL 相当于前级门输出低电平时,后级向前级门灌入 的电流因此它关系到前级门的灌电流负载能力,即直接影响前级门电路带负载的个数因 此希望 IIL 小些。测试电路如图 1-2

2) 高电平输入电流 IIH 高电平输入电流 IIH 又称为输入漏电鋶,它是指被测输入端接高电平其余输入端接地, 输出端空载流入被测输入端的电流值。在多级门电路中它相当于前级门输出高电岼时,3数字电子技术实验报告册流出前级门的电流称为前级门的拉电流负载,其大小关系到前级门的拉电流负载能力因 此希望 IIH 小些。甴于 IIH 较小难以测量,一般免于测试测试电路如图 1-3 所示。Vcc +5VVcc +5V II H μ AIIL mA图 1-2IIL 测试电路图 1-3IIH 测试电路3) 电压传输特性 门电路的输出电压 Vo 随输入电压 Vi 变化的曲線 Vo=?(Vi) 称为门电路的电压传输特性 通过它可读得门电路的一些重要参数。如输出高电平、输出低电平、关门电平、开门电平、 阈值电平等等测试电路如图 1-4 所示。采用逐点测试法调节 Rw ,逐点测得 Vi 及 Vo,然 后绘成曲线Vcc +5VRW 10K Vi Vo图 1-4 电压传输特性测试电路4) 扇出系数 No 扇出系数 No 是指门电路能驱动哃类门的个数, 它是衡量门电路负载能力的一个参数

TTL 与非门有两种不同性质的负载,即灌电流负载和拉电流负载因此,有两种扇出系數即低 电平扇出系数 NOL 和高电平扇出系数 NOH。通常 IIH < IIL,则 NOH > NOL故常以 NOL 作为门的扇出系 数。

扇出系数测试电路五、 实验内容与要求 (1) 验证 TTL 集成与非门 74LS00 的邏辑功能 利用实验装置上已有的 LED 指示灯及电平拨码开关所提供的 “0” “1” 和 电平 测量 74LS00 逻辑功能。测量表格见表 1-1:表 1-1 74LS00 的逻辑功能测量表输叺 A 0 0 1 1 (2) 74LS00 主要参数测试 B 0 1 0 1输出 Y1) 分别按图 1-2、图 1-3、图 1-5 搭接电路通过实验得到低电平输入电流 IIL 是,高电平 输入电流 IIH 又低电平输出电流和高电平输出电鋶,计算出扇出系数将结果记录入表 1-2。表 1-2 测量记录表IILIIHIOLIOHNOL2) 测量电压传输特性曲线

电路如图 1-4,调节电位器 RW,使 VI 从 0V 向高电平变化, 逐点测量 VI 和 V0 的对應值记录在表 1-3 中。表 1-2 测量记录表VI V000.20.40.60.81.01.21.52.02.533.54?六、 实验报告书写部分的要求(请在下面的空白页中完成上面已有的表格除外) (1) 总结示波器、万用表等仪器设备的使用方法及各旋钮的功能。

(2) 填写以上表格的实验数据

(3) 总结本次实验的收获和结论。

(4) 回答预习思考题

(5) 其他。5数字电子技术實验报告册6数字电子技术实验报告册实验报告得分 实验室地点指导

实验室日期7数字电子技术实验报告册实验二一、 实验目的门电路功能测試(1) 掌握与门、或门、与非门、异或门等门电路的逻辑功能

(2) 掌握 OC 门、三态门的应用和特点。

二、 实验器材与仪器 (1) 数字实验箱

三、 预习与思考题 (1) (2) (3) (4) 复习与门、或门、与非门、异或门、三态门的逻辑功能。

要使一个异或门实现非逻辑电路将如何连接,为什么说异或门是可控反楿器 对于 TTL 门电路为什么说输入端悬空相当于接高电平? 说明多个三态门“线与”时应注意的那些问题四、 实验内容与要求 (1) 验证与门、戓门、与非门、异或门及反向器的逻辑 将集成电路与门 74LS08 插入集成块的空插座上。注意必须再接上电源正、负极输入端 接逻辑开关,输出端接发光二极管 LED即可进行验证。观察输出结果并记录在表 2-1 中。

六、 实验报告要求(请在下面的空白页中完成上面已有的表格除外) (1) 畫出实验用逻辑门电路的逻辑符号,并写出逻辑表达式

(2) 整理并记录实验表格和实验结果。

(3) 总结三态门的功能及正确的使用方法

(4) 回答预習思考题思考题10数字电子技术实验报告册实验报告得分 实验室地点指导教师 实验室日期11数字电子技术实验报告册实验三一、 实验目的组合邏辑电路的设计(1) 掌握用小规模集成逻辑门设计组合逻辑电路的方法。

(2) 掌握用中规模集成逻辑门设计组合逻辑电路的方法

(3) 学习检查、分析電路简单故障的能力。

二、 实验设备 (1) 数字实验箱

三、 实验原理与说明 组合逻辑电路的设计方法

根据给出的实际逻辑问题,求出实现这一邏辑功能的最简单逻辑电路这就是设计组合逻辑电 路时要完成的工作。

组合逻辑电路的设计工作通常可按以下步骤进行如图 3-1 所示:实際 逻辑问题 真值表 或者 逻辑函数 化 简 简化的 逻辑函数 逻辑图图 3-1 组合电路设计步骤(1) 把实际逻辑问题进行逻辑抽象 在许多情况下,提出的设计偠求是用文字描述的一个具有一定因果关系的事件这时就需要通 过逻辑抽象的方法,用一个逻辑函数来描述这一因果关系

逻辑抽象的笁作通常是这样进行的

1) 分析事件的因果关系,确定输入变量和输出变量输入变量一般被定义为引起事件的 原因,输出变量一般被定义为倳件的结果

2) 定义逻辑状态的含意,进行逻辑状态赋值

以二值逻辑的 0、1 两种状态分别代表输入变量和输出变量的两种不同状态。这里 0 和 1 嘚 具体含意完全是由设计者人为选定的

3) 根据给定的因果关系列出逻辑真值表。

(2) 写出逻辑函数式 为便于对逻辑函数进行化简和变换需要紦真值表转换为对应的逻辑函数式。

(3) 选定器件的类型 为了实现最终的逻辑函数既可以用小规模集成门电路组成相应的逻辑电路,也可以鼡中规模 集成的常用组合逻辑器件或可编程逻辑器件等构成相应的逻辑电路应该根据对电路的具体要求和 器件的资源情况决定采用哪一種类型的器件。

(4) 可能根据需要将逻辑函数化简或变换成适当的形式 在使用小规模集成的门电路进行设计时,为获得最简单的设计结果應将函数式化成最简形式, 即函数式中相加的乘积项最少而且每个乘积项中的因子也最少。如果对所用器件的种类有附加的 限制(例如只尣许用单一类型的或非门)则还应将函数式变换成与器件种类相适应的形式。

在使用中规模集成的常用组合逻辑电路设计电路时需要将函数式变换为适当的形式,以便能12数字电子技术实验报告册用最少的器件和最简单的连线接成所要求的逻辑电路在使用这些器件设计组匼逻辑电路时,应该 将待产生的逻辑函数变换成与所用器件的逻辑函数式相同或类似的形式

(5) 根据化简或变换后的逻辑函数式,画出逻辑電路图

四、 实验内容 任选以下题目中的一个进行设计并实现。

(1) 题目 A:2 位二进制乘法器设计 输入 A1A0 和 B1B0 两路二进制信号输出为 A1A0×B1B0 的乘积,通過数码管显示出来

(2) 题目 B:4 人表决电路 设计一个 4 人表决电路,多数通过用发光二极管表示。

(3) 题目 C:奇偶校验电路 设计一个 6 位奇偶校验器当 6 个输入中有偶数个 1 时,发光二极管 A 亮;否则发光二极 管 B 亮

(4) 题目 D:大月指示器电路 设计一个大月指示器,电路输入表示月份若该月份天数为 31,则发光二极管亮其它情 况发光二极管不亮(注意任意项的处理) 。

五、 实验报告要求(请在下面的空白页中完成上面已有嘚表格除外) (1) 从实验内容所列的题目中选择一个题目进行设计,设计方法和方案不限

(2) 在实验报告中写出设计思路和设计过程,画出电路圖列出元器件清单。? (3) 自行设计测试表格完成实际电路的测试。

?13数字电子技术实验报告册14数字电子技术实验报告册实验报告得分 实验室哋点指导教师 实验室日期15数字电子技术实验报告册实验四一、 实验目的触发器应用设计实验(1) 掌握由与非门组成的基本 SR 锁存器的逻辑功能

(2) 掌握 JK 触发器的电路结构及动作特点。

(3) 掌握 JK 触发器的逻辑功能及测试方法

(4) 了解分频的概念并掌握使用触发器设计分频器的方法。

二、 实验設备 (1) 双踪示波器

三、 预习与思考题 (1) 复习 JK 触发器的电路结构和动作特点。

(2) 熟悉芯片的管脚排列及使用方法

(3) 对主从 JK 触发器的输入信号 J、K、CP 應作何限制? (4) 如何用触发器实现分频电路请画出使用触发器实现的 4 分频电路及输出波形,触发器类 型不限

五、 实验注意事项 (1) 改接线路時,要关掉电源

(2) 调节电子仪器各旋钮时,动作不要过猛实验前,要熟读双踪示波器的使用说明特别是 观察双踪时,要特别注意开关旋钮的操作与调节,示波器探头的地线同时接地六、 实验报告要求(请在下面的空白页中完成,上面已有的表格除外) (1) 回答思考题; (2) 茬表格中填写实验结果; (3) 写出实验(4)的设计思路和设计过程画出电路图,画出输入与输出的波形图17数字电子技术实验报告册实验报告得分指导教师实验室地点实验室日期18数字电子技术实验报告册实验五一、 实验目的计数、译码、显示电路的设计?(1) 掌握中规模集成计数器嘚功能特点及使用方法; (2) 掌握使用集成计数器构成任意模值进制计数器、分频器的方法; (3) 掌握译码器的原理及使用方法。

(4) 掌握数码显示电蕗的设计方法? (5) 掌握电子设计软件 Multisim 的使用方法。

二、 实验设备 (1) 计算机; (2) 数字实验箱; (3) 数字万用表

请参考共阳极数码管结构图(图 5-3) ,画絀共阴极数码管的结构图图 5-3 共阳极数码管结构图共阴极数码管结构图 20数字电子技术实验报告册(4) 请查阅相关资料,回答以下思考题

1) 数码管在实际使用当中是否需要接限流电阻?为什么 2) 最常用的共阳极数码管驱动芯片和共阴极数码管驱动芯片各是哪种型号?并说明这两 类數码管的各自显示原理以及在使用数码管时应注意的事项

3) 请简要说明 74LS160 和 74LS161 功能上的差异,若要实现实验内容(1) 进制计数 (N 器)选择那一種计数器更方便一些?四、 原理说明 假定已有 M 进制计数器,需要的是 N 进制计数器这时有 M > N 和 M < N 两种情况。

(1) M > N 的情况 M 进制计数器有 M 个状态在计數过程中,若设法跳过 M-N 个状态即可得到 N 进制计数器。

通常可用两种方法实现即反馈置零法(或称复位法)和反馈置数法(或称置位法) 。

反馈置零法适用于有清零输入端的集成计数器对于有异步清零输入端的计数器(如 74LS160、 74LS161)来讲,其工作原理为:当中规模 M 计数器从 S0 狀态开始计数当计数脉冲输入 N 个脉冲 后,M 进制计数器处于 SN 状态如果利用 SN 状态译码产生一个置零信号反馈到计数器的异步清零 输入端,則计数器立刻返回到 S0 状态这样就跳过了 M-N 个状态,从而实现模值为 N

由于电路在一进入 SN 状态后立即被置成 S0 状态所以 SN 状态仅在瞬间出现,茬稳定的状态循 环中不包括 SN 状态

反馈置数法适用于具有预置数功能的集成计数器。对于具有同步预置数功能的计数器(如 74LS160、74LS161)而言在其计数过程中,可以将它输出的任何一个状态通过译码产生一个预 置数控制信号反馈至预置数控制端,在下一个计数信号作用后计数器就会把预置数输入端 D0~D3 的状态置入输出端。预置数控制信号消失后计数器就从被置入的状态开始重新计数。

(2) M < N 的情况 由于 M 进制计数器只囿 M 个状态所以必须用多片 M 进制计数器组合起来,才能构成 N 进制 计数器构成方法有两种。一种是以并行进位的方式连接构成一种是以串行进位方式连接构成。

五、 实验内容 (1) 用计数器芯片设计实现自己学号的计数器并译码、显示出来。画出电路原理图写出设 计过程。鼡 Multisim 软件仿真上述电路打印出仿真结果,附在实验报告中

(2) 在实验装置上实现六十进制计数器,并译码、显示出来画出电路原理图,写絀设计过程

六、 实验报告要求(请在下面的空白页中完成,上面已有的表格除外) (1) 回答预习思考题; (2) 在实验报告中写出电路设计思路和設计过程画出仿真原理图和仿真结果。列出元器件清 单写出实验结果及实验总结。

?21数字电子技术实验报告册22数字电子技术实验报告冊实验报告得分指导教师实验室地点实验室日期23数字电子技术实验报告册实验六一、 实验目的555 定时器设计电路(1) 熟悉集成定时器 555 的工作原理忣应用。

(2) 掌握使用定时器 555 设计多谐振荡器的方法

二、 实验设备 (1) 数字实验箱; (2) 双踪示波器; (3) 数字万用表。

三、 预习与思考题 (1) 复习 555 定时器的電路结构与工作原理

(2) 思考如果希望得到高电平电压为 10V 的多谐信号,电路应如何处理 (3) 对于不标准的时钟信号,一般应进行怎样的处理 ㈣、 原理说明 555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为 555用 CMOS 工艺制作的称为 7555。555 定时器的电源電压范围宽可在 4.5V~16V 工作,7555 可在 3~18V 工作 输出驱动电流约为 200mA, 因而其输出可与 TTL、 CMOS 或者模拟电路电平兼容

555 定时器成本低,性能可靠只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发 器及施密特触发器等脉冲产生与变换电路555 定时器的引脚分布图和结构图如图 6-1 所礻。图 6-1 555 定时器的结构图和引脚分布图24数字电子技术实验报告册+5V5.1K4 7 VO’ RD 555 Vco 58 Vcc VO GND 1 3 VOD1 RW2 10K 20K5.1K D2 RW16 V TH 2 VTRC 0.1μC1 0.1μC2 10μ+图 6-2 占空比与频率均可调的多谐振荡器由 555 定时器构成的占空比与频率均可调的多谐振荡器电路如图 6-2 所示对 C 充电时,充电 电流通过 R1、D1、RW2 和 RW1;放电时通过 RW1、RW2、D2、R2当 R1=R2、RW2 调至中心点,因充 放电时间基本相同其占空比约为 50%,此时调节 RW1 仅改变频率占空比不变。如 RW2 调至偏离 中心点再调节 RW1,不仅振荡频率改变,而且对占空比也有影响RW1 不变,调节 RW2仅改变占 空比,对频率无影响因此,当接通电源后应首先调节 RW1 使频率至规定值,再调节 RW2以获 得需要的占空比。若频率调节的范围仳较大还可以用波段开关改变 C 的值。

五、 实验内容及步骤 按照图 6-2 连接电路实现一个占空比连续可调并能调节振荡频率的多谐振荡器,鼡示波器观 察其输出波形六、 实验注意事项 (1) 调节电位器的旋钮时,动作不要过猛

(2) 示波器探头的接地端一定要接地,以防外界干扰而影響测量的准确性 七、 实验报告要求(请在下面的空白页中完成上面已有的表格除外) (1) 回答预习思考题; (2) 根据图 6-2 所示电路,计算此多谐振蕩器的占空比和振荡频率的范围25数字电子技术实验报告册实验报告得分指导教师实验室地点实验室日期26数字电子技术实验报告册实验七 基于 FPGA 的分频器设计一、 实验目的 (1) 了解 EDA 软件在电子设计中的重要作用。

(3) 运用图形输入法设计分频器电路并进行电路仿真。

二、 实验仪器 (1) 计算机; (2) EDA/SOPC 实验开发装置三、 预习与思考题(1) 复习分频器的原理及构成。

利用 Altera 的器件库找到一个 BCD 的计数器:74LS160, (如图 7-2)从它的波形图(图 7-3)Φ27数字电子技术实验报告册可看到它具有的逻辑功能:BCD 计数异步清零,同步置数有进位输出。其中 CLK--为时钟信号; ENT、 ENP-- 为使能信号高电岼有效; CLRN--异步清零端,电平为高时计数器清零,电平为低时允许计数; 是一个可以对输入时钟进行十分频的器件。当计数器从 0 计数到 9 時RCO 从低电平 跳到高电平, 在下一个脉冲到来时 RCO 再回到低电平。

每十个计数脉冲 RCO 翻转一次 利用 RCO 特性,将前一级的 RCO 输出端接到下一级的時钟输入端就完成了连续分频的目的。调用 6 次 74LS160即可完成设计电路要求。

为了使电路层次清楚形式简洁,将分频电路创建符号文件

將待分频的时钟信号输入到 CLK,分频后输出的信号连接到实验装置 LED 指示灯电路创建 一个顶层文件。画出电路

编辑该电路,进行器件管脚萣义Assignments ? Pins,在打开的界面中找出 Location点击此28数字电子技术实验报告册处,出现将要被定义的管脚符号找出当前信号被定义的管脚,即电路在此端口连接到 FPGA 外围 电路的具体位置输入或输出属性。将分频的信号输出到 LED 显示电路即可进行观察设计结果

对顶层电路进行功能仿真。伍、 实验报告要求(请在下面的空白页中完成上面已有的表格除外) (1) 画出分频器电路底层图,并对电路中所用器件功能、作用加以说明; (2) 画出分频器电路顶层图; (3) 截取分频器电路仿真波形图; (4) 对硬件电路输出显示结果加以分析29数字电子技术实验报告册30数字电子技术实验報告册实验报告得分指导教师实验室地点实验室日期31数字电子技术实验报告册实验八 基于 FPGA 的跑马灯实验电路设计一、 实验目的 (1) 进一步熟悉 MAXPLUS II、QUARTUS II 等电子开发工具的基本使用方法。

(2) 掌握使用移位寄存器、计数器、译码器等集成电路进行综合电路设计方法

(3) 运用图解法设计跑马灯电蕗,并进行电路仿真

二、 实验仪器 (1) 计算机; (2) EDA/SOPC 实验开发装置。三、 实验内容与要求设计一个跑马灯电路要求输出 8 个 LED 灯从左到右逐个点亮,然后再从右到左逐个点亮并 能自动进行周期循环。四、 电路原理 彩灯控制器电路拟采用 74LS194 为核心控制彩灯左移、右移及闪烁功能围绕 74LS194 嘚 S1、 S0 工作的控制方式, S1、 需要自动周期性的变化 S0 为了实现自动模式转换, 设计一个状态计数器 控制彩灯模式转换,利用 74LS153 双四选一电路将 S1、S0 的状态置入 74LS194,完成周期性地 读取

H H HX当加有四位数据并且两个方式控制输入端 S1 和 S0 均为高电平时就可以完成并行置数。在时钟32数字电子技术实验报告册输入正跳变后数据被置入相应的触发器并出现于输出端在置数期间,串行数据流被禁止

当 S0 为高电平,S1 为低电平时在時钟脉冲上升沿到来时,完成同步右移操作此方式的串 行数据在右移数据输入端送入。当 S0 为低电平S1 为高电平时,数据同步左移新的數据在左移 串行输入端送入。当 S0 和 S1 均为低电平时触发器的时钟被禁止。

(2) 74LS153 是一个双 4 选 1 线数据选择器/多路开关 包含两个完全相同的 4 选 1 数据選择器。

两个数据选择器有公共的地址输入端而数据输入端和输出端是各自独立的。通过给定不 同的地址代码可从 4 个输入数据中选出所要的一个,并送至输出端

本设计方案的关键是 S1、S0 状态信息如何传送给 74LS194,S1、S0 状态信息要与整个彩灯控 制电路相匹配不同的 S1、S0 状态,送叺 74LS194 数据输入端的数据不同利用 74LS153 作为数 据选通,连接到 74LS194 数据输入端不同的工作状态,选通数据输出不同这是设计的主要关键33数字电子技术实验报告册点之一。

电路中利用 74LS161 完成状态计数工作,每 8 个时钟脉冲状态计数器完成加 1 操作,完成 移位方式控制利用 74LS194 完成左移或祐移及闪烁功能,利用 74LS153 完成左右移数据输入选通 控制原理框图如图 8-1 所示。状态计数 器移位寄 存器指示灯 电路脉冲计数器数据选通器Clk图 8-1 原悝框图根据任务要求可以列出自动循环状态和 74LS194 X/0X/00X /01 10 01 00 11 1X /0 1QB0 X/0X X注:X/0 表示电路设计过程中尽管状态表中取任意态,但在实际电路连接中取低电平。彩灯控制器完成左移、右移、闪烁及同时左右移只需要 4 种状态,故状态计数器完成模 4 计 数即可移位寄存器的工作状态由方式控制字 S1、S0 决定,查阅 74LS194 数据手册确定 S1、S0 工作方式。通过对表 8-1 的分析可得到 S1、S0 的状态。因为彩灯是八路输出用两片 74LS194, 其中高位片标号称为 74LS194B低位片称為 74LS194A,所以高位片的 74LS194 工作方式标称为 BS1、BS0低位片的为 AS1、AS0。将 BS1、BS0、 AS1、AS0 放在一起用 74153 将数据状态选 通输出即可 从表格中可以看出,状态计数器描述计数状态移位寄存器完成左移、右移、闪烁、左右同时 移动功能,且自动循环进行

(3) 74LS153 选通电路及 74LS194 移位电路控制设计34数字电子技术实验報告册从 74LS194 功能表中,在数据移动关系中我们可以得到如下关系:八路信号灯右移时右移输 入信号初值为 0 送入 ASRSI,将低四位 QA0、QA1、QA2、QA3 中的 QA3 传给高四位的 74LS194B 的 BSRSI;八路信号灯左移时左移输入信号初值为 1 送入

1) 2) 闪烁控制利用 D 触发器实现方波输出脉冲信号,应用 7LS4194 置数操作即可实现; 时钟信號 5HZ闪烁脉冲取常态信号为低电平;五、 实验报告要求(请在下面的空白页中完成,上面已有的表格除外) (1) 画出跑马灯电路图并对电路Φ所用器件功能、作用加以说明; (2) 截取跑马灯电路仿真波形图; (3) 对硬件电路输出显示结果加以分析。35数字电子技术实验报告册36数字电子技術实验报告册37数字电子技术实验报告册实验报告得分指导教师38数字电子技术实验报告册实验室地点实验室日期附录 常用数字集成电路管脚圖四―2 输入与非门四―2 输入或非门六非门三―3 输入与非门三―3 输入与门六非门(施密特)四―2 输入与门二―4 输入与非门二―4 输入与或非门②―4 输入与门三―3 输入或非门四―2 输入或门 39数字电子技术实验报告册3―8 译码器8―3 优先编码器8 选 1 的数据选择器双 4 选 1 的数据选择器移位寄存器雙 JK 触发器四―异或门十进制计数器4 线―16 线译码器40数字电子技术实验报告册十进制计数器双时钟可预置十进制同步加减计数器双 D 触发器BCD―七段高有效译码/驱动器4 位双稳态锁存器4 位数值比较器41数字电子技术实验报告册42

数字电子技术实验报告

数字电子技术实验报告( 数字电子技术实验报告(三)号 实验一 实验一:利用 74LS153 结合 74LS00 与非门实现表决逻辑 一、实验内容 某导弹发射场有正副指挥员各一名操作员两名,当正副指挥员同时发出命 令时只要两名操纵员中有一人按下按钮,即可产生一个点火信号将导弹发射 出去,请设计一个组合逻辑电路完荿点火信号的控制,写出函数式列出真值 表,画出实验图

二、实验分析 用 A1 , A2 分别表示正副指挥员发出的命令, B1 , B2 表示两名操纵员给出的控淛 “0”表示不给出点火指令;Y 表示点火信 信号其中“1”表示给出点火指令, 号且“1”表示点火成功。则函数式为

A1 0 0 1 1 三、搭建电路 实验电蕗如下图所示

二、实验分析 列出全加器真值表如下

格式:DOC ? 页数:61页 ? 上传日期: 16:21:29 ? 浏览次数:9 ? ? 1000积分 ? ? 用稻壳阅读器打开

全文阅读已结束如果下载本文需要使用

该用户还上传了这些文档

我要回帖

更多关于 小批量电子元器件 的文章

 

随机推荐