一道数电压缩bcd码转换十进制问题

福师《数字逻辑》在线作业一 -0005

2.一個16选一的数据选择器其地址输入(选择控制输入 )端有( )个.

3.下列触发器中,( )不可作为同步时序逻辑电路的存储元件

4.下列逻辑电路Φ为时序逻辑电路的是( )

5.一位十六进制数可以用( )位二进制数来表示

6.欲对全班53个同学以二进制代码编码表示最少需要二进制的位数是()

7.以下代码中为无权码的为( ).

8.在何种输入情况下,“与非”运算的结果是逻辑0( ).

9.不属于矩形脉冲信号的参数有( ).

11.若在编码器中有50个编码對象则要求输出二进制代码位数为( )位

13.TTL与非门的多余脚悬空等效于( )。

14.下列逻辑门中( )不属于通用逻辑门

15.TTL集成电路采用的是( )控制,其功率损耗比较大

16.101键盘的编码器输出( )位二进制代码

17.以下表达式中符合逻辑运算法则的是( ).

18.逻辑函数的表示方法中具有唯一性的是( ).

19.鼡ROM实现四位二进制码到四位循环码的转换要求存储器的容量为( )。

20.组合型PLA是由( )构成

A.与门阵列和或门阵列

1.ROM在工作时常用来存放中间數据

2.按输出是否应该变化,分为"0"型险象与"1"型险象

3.基本的RS触发器具有空翻现象

4.若两个函数具有不同的真值表则两个逻辑函数必然不相等 。( )

5.正数N的反码补码都与原码相同

6.若两个函数具有相同的真值表,则两个逻辑函数必然相等 ( )

7.二进制译码器相当于是一个最小项發生器,便于实现组合逻辑电路 ( )

8.当传送十进制数5时,在8421奇校验码的校验位上值应为1 ( )

9.PLD的基本结构是与门和或门

11.复合逻辑运算不昰逻辑运算 。( )

12.化简逻辑函数就是把逻辑代数式写成最小项和的形式

13.4008为四位二进制超前进位全加器 。( )

14.液晶显示器的优点是功耗极尛、工作电压低 ( )

15.判断一个电路是否可能产生险象的方法有代数法和卡诺图法

16.方波的占空比为0.5 。( )

18.电平异步时序电路除了可能存在競争外还可能存在本质险象

19.寄存器分为数码寄存器和移位寄存器

20.在补码表示法中,正数的表示同原码和反码的表示是一样的

21.OC门即集电極开路门,是一种能够实现线逻辑的电路 ( )

22.计算器的模是指构成计数器的触发器的个数

23.若两个函数具有不同的逻辑函数式,则两个逻輯函数必然不相等 ( )

24.CMOS OD门(漏极开路门 )的输出端可以直接相连,实现线与 ( )

25.编码与译码是互逆的过程 。( )

1.两个状态等效时次態满足的条件包括( )

2.描述触发器的逻辑功能的方法有( )

4.下列触发器中,没法约束条件的是( )

5.TTL电路在正逻辑系统中以下各种输入中( )相当於输入逻辑“1” 。

B.通过电阻2.7kΩ接电源

D.通过电阻510Ω接地

设计一个数字式频率计 基本要求:

1.被测信号为TTL脉冲信号。 2.显示的频率范围为0—99Hz 3.测量精度为±1Hz。

4.用LED数码管显示频率数值 扩展部分:

1.输人信号为正弦信号、彡角波,幅值为10mV 2.显示的频率范围为0000—9999Hz。 3.提高测量的精度至0.1Hz 设计方案:

频率是指单位时间(1s)内信号振动的次数。从测量的角度看即單位时间测得的被测信号的脉冲数。电路的方框图如下图所示被测信号送人通道,经放大整形后使每个周期形成一个脉冲,这些脉冲加到主门的A输人端门控双稳输山的门控信号加到主门的B输入端,在主门开启时间内脉冲信号通过主门,进人计数器则计教器记得的數,就是要测的频率值如果主门的开启时间为Ts,计数器累积的数字为N则被测的频率为fx=N/T。

1.准确计时以数字形式显示时、分和秒的时間。

2.小时的计时要求为“12翻1”分和秒的时间要求为60进制。

三、扩展功能 1.定时控制

2.仿广播电台正点报时。 3.报整点时数

四、数芓钟电路的组成框图

数字钟电路的组成如上图所示,其主体电路的工作原砌口下:由555定时器产生1kHz的脉冲信号经由74LS90构成的几级分频器后,輸出1Hz的时钟为由74LS90和74LS92构成的60进制秒计数器提供时钟,秒计数器十位再向74Ls90和74L592构成的60进制分计数器提供时钟其高位再为由74LSl91和74LS74构成的12进制时计數器提供时钟。秒、分和时计数器的输出分别接到各自的译码器的输入端驱动数码管显示。

题目3声控开关的设计与制作

设计—个声控开關控制对象为发光二极管。

1.接收到一定强度的声音后声控开关点亮发光二极管(电流5-10mA),延时时间在1—10s之间可调

2.延时时间用数字显礻(采用共阳极数码管),时间单位为0.1s显示范围为0-9.9s。

设计方框图如下图所示驻极体话筒接收到一定强度的声音信号后,声音信号转换为电壓信号幅值很小,经放大、整形(施密特电路)后触发单稳延时电路,产生一个宽度可调的脉冲信号驱动发光显示电路。同时这个脉沖信号作为选通信号,使计数器计数并用数码显示。若时基电路的信号周期为0.1 s则数码管显示的数字即为相应的时间值。

四、可选元器件 驻极体话筒; 三级管:9013;

发光二极管LED(共阳) 显示译码器;74LS47; 计数器;74LS90; 与非门;74LS00; 电阻、电容若干

题目4 数字式相位差测量仪

设计一个电蕗测量两路信号的相位差。

1.被测信号为正弦波频率为40—60Hz,幅度大于0.5V

2.相位测量精度为1。

3.用数码管显示测量结果

两个同频信号中fR為基准信号,fs为被侧信号经放大整形后,变成正方波信号(即电压变化范围0-5v)再经二分频电路送入由异或门组成的相位比较电路,其输出脈冲A的宽度tw可反映两信号的相位差波形的变化过程如图2所示。

锁相环和360分频电路构成的是360倍频电路其输出B的每一周期对应原信号的1,所以可用它来度量相位差控制电路的作用分为两方面,其一当计数结束时,产生锁存信号将汁数结果存入锁存器;其二,锁存后洅产生一短暂的清零信号,将计数器清零为下一周期的测量做好准备。

1.放大电路可由运放u A741实现波形的整形用集成比较器LM393构成的滞回仳较

器完成。应保证整形前后两信号的相位差不变

2.异或门采用四—2输入异或门集成电路74LS386(或74LS86)。用示波器检查其输出是否反映了两信号的楿位差

3.锁相环采用低频锁相环CD4046,其原理请参照教材设计时应根据本题目的要求合理选择压控振荡器的定时电阻和电容,使其振荡频率在50×360=18kHz左右环路滤波器采用简单的RC滤波器即可,截止频率应低于40Hz

4.360分频电路可用三级计数器串接而成(5×6×12),用74LS90和74LS92即可实现

5.锁存器可用两片74LS273(8D触发器)实现。

6.控制电路用以产生锁存器的锁存信号(即时钟信号)和计数器的清零信号可用两级单稳电路.其一接受A的下降沿触发,产生一正脉冲CP;后者接受CP的下降沿产生清零脉冲。

注意:脉冲宽度应合适不应影响下一周期的测量。

题目5 数字电容测试仪

设計一个数字电容测试仪

1.设计一个能测量电容范围在1000—100000pF之间的电容测试仪 2.用数码管显示。

3.测量精度要求为±10%(准确值以万用表的测量值为准)

通过选择量程的方法扩展电容的测量范围,最大要求100pF—10uF

1.设法将电容的划、转换成与之相对应的脉冲数。 2.测量脉冲数目並进行译码用数码管显示结果。 3.设计框图见下图

控制电路:74Ls系列与非门; 电阻、电容若干。

设计一个利用光线的通断来统计进入实驗室人数的电路

1.设计两路光控电路,一路放置在门外另一路设置在门里,当有人通过门口时(无论是进入或走出房间)都会先触发一個光控电路,再触发另一个光控电路要求根据光控电路产生触发脉冲的先后顺序,判断人员是进入还是离开实验室当有人进入实验室時令计数器进行加计数,当有人离开实验室时进行减计数

2.要求计数器的最大计数容量为99,并用数码管显示数字‘

1.有手动复位(清零)功能

2.要求计数器每计—个数,发光二极管指示灯闪烁一次(或峰呜器呐一次)

红外发光二极管和光电三极管(对管两对);

说明:74LSl90为单时钟加減控制型十进制可逆计数器, 74LSl92为双时钟十进制可逆计数器

题目7 空调机温度控制器

设计一个空调机温度控制器。空调为温度调节设备当淛冷运行时,如果室内环境温度高于设定温度空调器启动制冷运行:如果室内环境温度低于设定温度,空调器停止运行制热运行与制冷运行过程正好相反。

1.具有温度采集功能实时采集室内环境温度,要求误差在±1℃之内

2.温度设定通过按键完成,比如按一下键為设定成21℃,按两下键设定为22℃依此类推,并且能将设定的温度显示出来

3.根据设定温度和采集到的温度判断压缩机的运行状态,可鉯用开关量来表示

1.制作成冷暖两用型控制器,需要另外加一个控制变量来描述制冷或者制热

2.控制器具有施密特特性,抗扰动

3.提高温度采集准确度。

该电路的核心应为一比较器且该比较器的阈值电压应受到设定温度的控制。可以采用多路模拟开关和电阻网络来妀变阈值电压可参考下图。

温度传感器:AD590:

集成运放:LM324;

多路模拟开关:比406

题目8 数字式波形发生器

采用EPROM(2764)设计一种可产生多种模拟连续波形的电路能够产生正弦波、三角波、锯齿波。

2.设计能够产生正弦波的波形发生器

3.画出完整的电路图,写出设计实验调试报告

1.對正弦波、三角波、锯齿波波形进行量化,并将量化结果存于EPROM 2.设计产生三角波、锯齿波的连续波形发生器。 3.画出完整的电路图写絀设计实验调试报告。

数字式波形发生器框图如下图所示由时钟电路、计数器电路、EPROM和D/A组成。采用555构成多谐振荡电路作为时钟电路或采用卧式晶振构成时钟电路,时钟频率为256Hz计数器可采用74LSl6

1、74LS93构成,其输出作为EPROM的地址输入将多种波形的量化结果存于EPROM存储单元内。采用8位D/A进行数模转换。

题目9 可编程字符发生器

制作一个可编程字符发生器

LED发光短阵作显示器件

1.点阵式LED发光矩阵为8X8或16X16规格。 2.设计LED发光矩阵的驱动电路

3.用EPROM存储器存储待显示的字符。

4.字符数大于4字形自定,如“庆祝十六大胜利闭幕”、“电子设计竞赛”等 5.具有順序显示、流水显示和循环显示的工作方式,设计相应的控制电路

由发光二极管组成的显示屏,当行、列信号有效时其交点的发光器件点亮,多个被点亮的发光器件即可组成一定的字符被点亮的器件如果核一定方式显示,如从上至下从右至左,即可形成流水显示效果

被显示的字符按点阵方式存储在EPROM中,例如存储“中”字: 高位地址 低位地址

0 存储器写入数据在EPROM的片选和读写控制端控制下进行可通過专门的编程器写入,习惯称为烧入也可通过手写方式逐点写入。

由发光二极管组成的显示屏应采用动态扫描工作方式有利于简化电蕗。例如行驱动扫描速度较快(100Hz),而列驱动情号较慢(1Hz)于是在1s内,行驱动信号变化100次被点亮的LED亮灭100次。由于人眼的视觉暂留作用字符僦固定显示出来了。如果将一个字符逐次移动一列存储10次每隔0.1s列地址变化一次,即可产生流水效果

可编程字符发生器的参考力框图如丅图所示。

题目10 智力竞赛抢答器

在进行智力竞赛抢答时需要将参赛者分为若干组进行抢答,究竟谁先谁后单凭主持人的眼睛是很难判断嘚;在提问或回答时往往都要有时间限制。另外犯规违章要发出一种特殊信号,以便主持人看得清、听得到要完成上述功能,非专門仪器是难以实现的因此,在进行智力竞赛时通常使用一种仪器——“抢答器”。它能避确无误地实现上述功能

1.四组参赛者在进荇抢答时,当抢先者按下面前的按钮时抢答器能准确地判断出抢先者.并以声、光为标志。要求声响、光亮时间为9秒后自动熄灭

2.抢答器应具有互锁功能,某组抢答后能自动封锁其它各组进行枪答

3。抢答器应具有限时(抢答时、回答问题时)功能限时档次分别为30秒、60秒、90秒;时间到时应发出声响。同时时间数据要用数码管显示出来。

4.抢答者犯规或违章(主持人未说‘开始抢答”时参赛者抢先按钮)时,应自动发出警告信号以指示灯光闪为标志。

5.系统应具有一个总复位开关

如下图所示,为抢答器的逻辑框图

它主要由输人电路、判别电路、声光显示电路、计时电路和数码显示电路等组成。抢答时当抢先者按下面前的按钮时,输人电路立即输出一抢答信号经判別电路后,输出响应信号去驱动声光显示电路声响电路和计时电路的输入信号是由晶振电路产生的脉冲信号来提供。

三、实验步骤自拟、器件自选

题目11 交通信号灯的自动控制

随着经济建设的发展交通日益繁忙,事故时有发生为了保障行人和行车的安全,在十字路口上都增设了交通灯控制器,如图1所示大道通常有车.小道很少有车。

1.通常情况下大道绿灯亮,小道红灯亮

2.若小通来车,大道经6秒由绿灯变为黄灯;再经过4秒大通由黄灯变为红灯,同时小道由红灯变为绿灯。

3.小道变绿灯后苦大道来车不到3辆,则经过25秒钟后洎动由红灯变为黄灯再经过4秒变为红灯,同时.大道出红灯变为绿灯

4.如果小道在绿灯亮时.小道绿灯亮的时间还没有到25秒,只要大噵检测到已经超过3辆车在等候那么小道应立即由绿灯变为黄灯,再经过4秒变为红灯.同时大道由红灯变为绿灯。

1.灯的变化出现四个狀态如表1表示灯亮,‘0’表示灯灭

2.交通灯控制的原理框图如图2所示。秒信号和检测信号由实验箱信号源和高低电平来提供

三、实驗步骤自拟、器件自选

电子锁具有保密性强、防盗性好等特点。随着对电子锁产品的开发研制它在日用锁中所占比重日益增强。电子锁具有机械锁无法比拟的优越性它不仅可以完成锁本身的功能,还可以兼有多种功能如记忆、识别、报警、兼作门铃等等。作为密码类電子锁还不需要带钥匙,只要记住开锁密码即可如果密码失密,主人还可以随时变换密码不会造成不应有的损失。

1.设计一个电子鎖其密码为8值二进制代码,开锁指令为串行输入码

2.当开锁输入码与密码一致时,锁被打开

3.当开锁输入码与密码不一致时,则报警报警动作响1分钟,停10秒钟后再重复出现

4.报警器可以兼作门铃用,而门铃响的时间通常为7一l0秒

下图为电子锁原理框图。锁体一般甴电磁线圈、锁拴、弹簧和锁框等组成.当有开锁信号时电磁线圈有电流通过,于是线圈便产生磁场吸住锁拴锁便打开。当无开锁信號时线圈无电流通过,锁拴被弹入锁框门被锁上。为教学方便我们用发光二极管代替锁体,亮为开锁灭为上锁。密码存储可用高低电平开关设置也可以采用时序电路存储。当开锁信号串行输入时一定做到输入8位代码后才出现比较结果,一致时则开锁不一致时則报警。

三、实验步骤自拟、器件自选

题目13 八路彩灯显示电路

每逢过年过节都要在门口上搞些彩灯以显示浓厚节日气氛。那么在当代舞囼上彩灯的闪烁更引人注目使人们心情有一种特别快乐感。彩灯装置多种多样在这里我们设计这样一种彩灯——八路彩灯显示。

设计┅个八路彩灯而且每路都有八盏灯显示的控制装置。其彩灯变化情况如下:

1.八路彩灯的每路八盏灯同时依次亮时间间隔1秒,然后同時依次灭时间间隔1秒。

2.八路彩灯同时整个亮时间间隔0.5秒,然后同时整个灭时间间隔0.5秒。而这个 过程要重复四遍

每路彩灯要完成┅个循环共需20秒,其工作程序如下表所示设每路彩灯为Q1-Q8。

由表可知要完成八路彩灯显示功能.需设一个控制器,重复实现该控制程序

三、实验步骤自拟,器件自选

一、设计报告书的要求: 1. 封面

2. 课程设计任务书(题目设计要求,技术指标等)

3. 前言(发展现状、课程设計的意义、设计课题的作用等方面) 3. 目录

4. 课题设计(⑴ 写出你考虑该问题的基本设计思路,画出一个实现电路功能的大致框图

⑵ 画出框图中的各部分电路,对各部分电路的工作原理应作出说明 ⑶ 画出整个设计电路的原理电路图,并简要地说明电路的工作原理 ⑷ 用protel画原理电路图。

6. 课题小结(设计的心得和调试的结果) 7. 参考文献。

①设计思路②单元电路正确与否,③整体电路是否完整④电路原理說明是否基本正确,⑤报告是否清晰⑥答辩过程中回答问题是否基本正确。

三、题目选择:(三人一组自由组合)(设计要求,技术指标自己选择)

1、基于DC4011水箱水位自动控制器的设计与实现

水箱水位自动控制器电路采用CD4011四与非门作为处理芯片。要求能够实现如下功能:水箱中的水位低于预定的水位时自动启动水泵抽水;而当水箱中的水位达到预定的高水位时,使水泵停止抽水始终保持水箱中有一萣的水,既不会干也不会溢,非常的实用而且方便

2、基于CD4011声控、光控延时开关的设计与实现

要求电路以CD4011作为中心元件,结合外围电路实现以下功能:在白天或光线较亮时,节电开关呈关闭状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态当有人经过该开关附菦时,脚步声、说话声、拍手声等都能开启节电开关灯亮后经过40秒左右的延时节电开关自动关闭,灯灭

3、基于CD4011红外感应开关的设计与實现

在一些公共场所里,诸如自动干手机、自动取票机等只要人手在机器前面一晃,机器便被启动延时一段时间后自动关闭,使用起來非常方便要求用CD4011设计有此功能的红外线感应开关。

4、基于CD4011红外线对射报警器的设计与实现

设计一款利用红外线进行布防的防盗报警系統利用多谐振荡器作为红外线发射器的驱动电路,驱动红外发射管向布防区内发射红外线,接收端利用专用的红外线接收器件对发射嘚红外线信号进行接收经放大电路进行信号放大及整形,以CD4011作为逻辑处理器控制报警电路及复位电路,电路中设有报警信号锁定功能即使现场的入侵人员走开,报警电路也将一直报警直到人为解除后方能取消报警。

5、基于CD4069无线音乐门铃的设计与实现

音乐门铃已为人們所熟知在一些住宅楼中都装有音乐门铃,当有客人来访时只要按下门铃按钮,就会发出“叮咚”的声音或是播放一首乐曲然而在┅些已装修好的室内,若是装上有线门铃由于必须布线,从而破坏装修让人感到非常麻烦。采用CD4069设计一款无线音乐门铃发射按键与接收机间采用了无线方式传输信息。

6、基于时基电路555“叮咚”门铃的设计与实现

用NE555集成电路设计、制作一个“叮咚”门铃使该装置能够發出音色比较动听的“叮咚”声。

7、基于CD4511数显八路抢答器的设计与实现

CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成电路设计一款基於CD4511八路抢答器,该电路包括抢答编码,优先锁存,数显和复位

8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯使之通过调节电位器旋钮,可调整彩灯的流动速度

9、水位指示的设计与实现

电路的功能是检测容器内的水位。把探头分别装在容器的底蔀、中部和顶部通过3根导线与电路板连接,而3个LED分别代表不同的水位

10、基于数字电路双向炫彩流水灯的设计与实现

电路由无稳态多谢振荡器、可逆计数器、三八线译码器和发光二极管组成;实现流水灯正反向循环旋转。

11、基于数字电路六位数字钟的设计与实现

设计一款純数字电路打造的6位数字时钟数字钟是采用数字电路对“时”、“分”、“秒”数字显示的计时装置。

12、八路声光报警器的设计与实现

仈路声光报警器中八位优先编码器CD4532将输入D0~D7的八路开关量译成三位BCD码经BCD锁存/七段译码/驱动器CD4511译码,驱动共阴极数码管显示警报电路0—7路輸入开关中的任一路开路,显示器即显示该路号发出数码光报警;同时优先编码器CD4532的GS段输出高电平,使开关三极管饱和导通启动声报警电路工作。声报警电路由时基集成电路NE555和六反相器CD4069组成

13、基于CD4060梦幻灯的设计与实现(基于proteus仿真)

设计一款电路,使其具有多种美丽的聲光效果三种颜色的LED随机组合,五彩斑斓配有生日快乐音乐芯片,闪光的同时有生日快乐音乐播放蜂鸣器发生,音乐芯片直接可以裝到电路板上备有电源开关,方便控制可以外接交流电源或电池。可作生日礼物相送

14、变音警笛电路的设计与实现 设计一款电路,該电路采用两片NE555时基集成电路构成的变音警笛电路能发出“呜-哇-呜-哇”的警笛声。

15、魔幻LED摇摇棒的设计与制作

“摇摇棒”是一种利用我們的“视觉暂留效应”工作的高科技电子玩具接通电源后,它上面的一列LED(发光二极管)不停地闪烁当你摇动它时,会看见空中梦幻般的浮现一个个笑脸、爱心等图案或者文字 “摇摇棒”使用了一块单片机。通过编写程序在它内部存储若干幅图形和文字,用一只轻觸按钮来选择要显示的内容并且使用一只动作传感器开关来确保显示正常。

16、基于数字电路NE5

由555组成的多谐振荡器和CD4017十进制计数器/脉冲汾配器构成7个发光二极管模拟骰子的点数,当按下按钮1秒以上骰子上的发光二极管高速循环点亮,之后循环速度越来越慢并最终随机停止于某个点上

17、数显计数器的设计与实现

不需要编程的计数器模块,有3个数码管显示使用14553和14511芯片进行控制驱动。

18、基于555简易催眠器嘚设计与制作

时基电路555构成一个极低频振荡器输出一个个短的脉冲,使扬声器发出类似雨滴的声音

19、基于数字电路电动机转速表的设计與实现

在电动机转动时人眼无法统计电动机单位时间转转的圈数,即使电动机每秒钟只转动几圈我们也无法准确的数数来得到电动机烸分钟的转动圈数。设计一款电动机转速表来计数最大可以显示999,如果需要显示更大的数字还可以自行增加CD40110和数码管,每增加一级計数可增大10倍再加上9。

20、基于CD4011路灯开关模拟电路的设计与实现

从节约用电的角度出发路灯开关在每天傍晚时全部灯亮,后半夜行人稀少路灯关掉一半,第二天清早路灯全部关闭

21、数字秒表的设计与实现

单稳态触发器,时针发生器及计时器译码显示单元电路的应用

22、基于CD4011声光控带灯头开关的设计与实现

开关选用CD4011集成块为延时电路,选用1A单向可控硅以及性能稳定的光敏电阻和优质的驻极体组成的声光控動作电路

23、基于数字电路两位计数器的设计与实现

两位自动计数器两位数码管自动显示0-99,数字可清零电路主要由NE555,实现。上电后,电路自动计數.由0增至99,不断循环计数.

24、数字频率计的设计与实现

电路通过时基电路NE555十进制计数/译码器CD4017,六与非门CD4011十进制计数/译码/锁存/驱动器CD40110以及两個共阴数码管实现被测信号频率测试。

25、基于数字电路自动温控报警电路的设计与实现

现实生活中常常需要进行温度控制。当温度超出某一规定的上限值时需要立即切断电源并报警。待恢复正常后设备继续运行设计一款温度控制电路,电路采用LM324作比较器NE555作振荡器,┿进制计数/译码器CD4017以及锁存/译码/驱动电路CD4511作译码显示达到上述要求

26、基于数字电路两位自动计数器的设计与实现

两位自动计数器两位数碼管自动显示0-99,数字可清零。电路主要由NE555,实现上电后,电路自动计数.由0增至99,不断循环计数.数字上升速度快慢由NE555振荡频率决定.S1为计数清零按键.NE555構成时钟信号发生器,CD4518为二/十进制加法计数器,CD4511为译码驱动器,调节R17可调节NE555的振荡频率.C1为充放电电容,电容容量愈大,充电时间愈长,,则振荡频率愈低。

27、基于数字电路数字显示频率计电路的设计与实现

电路通过时基电路NE555十进制计数/译码器CD4017,六与非门CD4011十进制计数/译码/锁存/驱动器CD40110以及兩个共阴数码管实现被测信号频率测试。

28、基于CD4017流水灯的设计与实现

CD4017流水灯由555组成的多谐振荡器和CD4017十进进制计数/译码电路组成

29、基于CD4017六蕗回闪灯的设计与实现 电路通电后,六个发光管先依次点亮再全部熄灭,然后反方向依次点亮完成一个循环,接着进行下一个循环電路由555组成的多谐振荡器和CD4017十进进制计数/译码电路组成。

30、基于CD4017摩托车闪灯的设计与实现

电路由多谐振荡电路和CD4017构成实现三组发光管循環显示。

31、基于CD401712路回闪灯的设计与实现

电路由555组成的多谐振荡器和CD4017十进制计数/译码电路构成

32、基于CD数字钟的设计与实现

数字钟实际上是┅个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号秒脉冲信号输入计数器进行计数,并把累計结果以“时”、“分”、“秒”的数字显示出来秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路当計满24小时后又开始下一轮的循环计数。一般由振荡器、分频器、计数器、译码器、数码显示器等几部分组成

振荡电路:主要用来产生时間标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度所以采用石英晶体振荡器。

分频器:因为振荡器产生的标准信号頻率很高要是要得到“秒”信号,需一定级数的分频器进行分频

计数器:有了“秒”信号,则可以根据60秒为1分24小时为1天的进制,分別设定“时”、“分”、“秒”的计数器分别为60进制,60进制,24进制计数器并输出一分,一小时一天的进位信号。

译码显示:将“时”“分”“秒”显示出来将计数器输入状态,输入到译码器产生驱动数码显示器信号,呈现出对应的进位数字字型

由于计数的起始时間不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时另外,计时过程要具有报时功能当時间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次

为了使数字钟使用方便,在设计上使用了一个变压器和一个整流桥来实现数字钟电能的輸入使得可以方便地直接插入220V的交流电就可以正常地使用了。 关键词 数字钟 振荡 计数 校正 报时

在学完了《数字电子技术基础》课程的基夲理论基本知识后,能够综合运用所学理论知识、拓宽知识面系统地进行电子电路的工程实践训练,锻炼动手能力培养工程师的基夲技能,提高分析问题和解决问题的能力

1.时间计数电路采用24进制,从00开始到23后再回到00; 2.各用2位数码管显示时、分、秒;

3.具有手动校时、校分功能可以分别对时及分进行单独校时,使其校正到标准时间; 4.计时过程具有报时功能当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次 5.为了保证计时的稳定及准确,须由晶体振荡器提供时间基准信号 2.2设计要求

根据选定方案确定实现设计要求的基本电路和扩展電路,画出电路原理图

3数字电子钟的组成和工作原理

数字钟一般由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等蔀分组成,这些都是数字电路中应用最广的基本电路 3.2原理分析

数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生嘚时钟信号经过分频器形成秒脉冲信号秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来秒計数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路当计满24小时后又开始下一轮的循环计数。由于计数的起始時间不可能与标准时间(如北京时间)一致故需要在电路上加一个校时电路可以对分和时进行校时。另外计时过程要具有报时功能,當时间到达整点前10秒开始蜂鸣器1秒响1秒停地响5次。 3.3数字点钟的基本逻辑功能框图

图1 数字钟的基本逻辑框图

下面将介绍设计电路具体方案:其中包括电源电路的设计、秒信号发生器的设计、时间计数电路的设计、译码驱动显示电路的设计、正点报时电路的设计、校时电路嘚设计几个部分

4.1 秒信号发生器的设计

晶体振荡分频电路石英晶体振荡电路 1.采用频率fs=32768Hz的石英晶体。

1、D2是反相器D1用于振荡,D2用于缓沖整形Rf为反馈电阻(10~100MΩ),反馈电阻的作用是为CMOS反相器提供偏置,使其工作在放大状态C1是频率微调电容,改变C1可对振荡器频率作微量調整C1一般取5~35pF。C2是温度特性校正用的电容一般取20~405pF,电容C

1、C2与晶体共同构成Ⅱ型网络完成对振荡器频率的控制,并提供必要的1800相移最後输出fs=32768Hz。

图4 石英晶体振荡电路

将32 768Hz脉冲信号输入到CD4060(内部结构如图4-4)组成的脉冲振荡的14位二进制计数器所以从最后一级Q14输出的脉冲信号頻率为: = = 2Hz 如图6。再经过二次分频得到1Hz的标准信号脉冲,即秒脉冲如图7

图8 晶体振荡及分频电路

4.2时间计数电路的设计

秒信号经秒计数器、分计数器、时计数器之后,分别得到“秒”个位、十位、“分”个位、十位以及“时”个位、十位的计时输出信号然后送至译码显示電路,以便实现用数字显示时、分、秒的要求“秒”和“分”计数器应为六十进制,而“时”计数器应为二十四进制采用10进制计数器74LS162來实现时间计数单元的计数功能,其为双2-5-10异步计数器并且每一计数器均有异步清零端(高电平有效)。 4.2.1“分”、“秒”六十进制计數器

选用两块74LS162采用异步清零的方法完成60进制以“秒”计数为例:计秒时,将秒个位计数单元的QA与CP(下降沿有效)相连将74LS162连接成10进淛计数器,BCPA(下降沿有效)与1HZ秒输入信号相连QD可作为向上的进位信号与十位计数单元的CPA相连。秒十位计数单元为6进制计數器需要进制转换。将10进制计数器转换为6(0110)进制计数器当十位计数器计到QD QC QB QA为0110时,同时对秒的个位和十位进行清0另外QC可作为姠上的进位信号与分个位的计数单元的CPA相连。其具体连接图如图9CPA相连其具体连接图如图9。 7

4.2.2二十四进制计数器

同样可以选用兩块74LS162采用异步清零的方法完成24进制计数 如图10

图10二十四进制计数器

译码显示电路是将计数器输出的8421 BCD码译成数码管显示所需要的高低电平,峩们采用阴极七段数码管引脚如图11。

其则译码电路就应选接与它配套的共阴极七段数码驱动器译码显示电路可采用CD4511BC-7段译码驱动器,其芯片引脚如图12译码器A、B、C、D与十进制计数器的四个输出端相连接,a、b、c、d、e、f、g即为驱动七段数码显示器的信号根据A、B、C、D所得的计數信号,数码管显示的相对应的字型其具体电路图如图13。

图11 阴极七段数码管

4.4正点报时电路的设计

要求当时间到达整点前10秒开始蜂鸣器1秒响1秒停地响5次。即当时间达到xx时59分50秒时蜂鸣器开始响第一次并持续一秒钟,然后停鸣一秒这样响五次。在59分50秒到59分59秒之间只有秒的个位计数,分的十位QD QC QB QA输出0101,个位QD QC QB QA 输出1001秒的十位QD QC QB QA 输出0101均不变,而秒的个位QA计数过程中输出在0和1之间转所以可以利用与非门的相与功能,把分十位的QC 、QA ,分个位的QD、QA秒十位的QC、QA 和秒个位的QA相“与非”作为控制信号控制与非门的开断,从而控制蜂鸣器的响和停如图14。

时钟絀现误差时需校准。校对时间总是在标准时间到来之前进行分四个步骤:首先把小时计数器置到所需的数字;然后再将分计数器置到所需数字;在此同时或之后,将秒计数器在零时停计数处于等待启动;当选定的标准时刻到达的瞬间,按起动按钮电路则从所预置时間开始计数。由此可知校时电路应具有预置小时,预置分、等待启动、计时四个阶段因此,我们设计的校时电路方便、可靠地实现這四个阶段所要求的功能。

图15数字电子钟的计数校正电路

本次实验培养了我的团队合作精神,两人分工明确我们一起处理实验过程中遇到的难题,在每连接好一个模块后我们认真地检查电路,这样大大减少了实验出错的机率为最后成功完成实验节省了不少的时间。

夲次数字钟电路设计实验还做到理论联系实际刚刚学过了数电这门课程,还没完全弄懂某些门电路的原理和用途而此次课程设计恰恰提供了一个好机会,让我们从实践中加深了对所学知识的理解

1. 郝国法等主编 电子技术实验 北京:冶金工业出版社,2006 2.华中科技大学电子技術课程组编 康光华主编 电子技术基础 数字部分(第五版) 北京 :高等教育出版社2005 3.彭容修主编.数字电子技术基础. 武汉:华中理工大学出版社,2000 4.李哲英主编.电子技术及其应用基础(数字部分). 北京:高等教育出版社2003 5.浙江大学电工电子基础教学中心电子学组编,郑家龙、王小海、章安元主编.集成电子技术基础教程.北京:高等教育出版社2002

四川工业科技学院 电子信息工程学院课程设计 专业名称:电子信息工程 课程洺称:数字电路课程设计 课题名称:自动节能灯设计 设计人员:蔡志荷 指导教师:廖俊东 2018年1月10日

《模拟电子技术课程设计》任务书

一、课題名称:数字钟的设计

(1)掌握数字钟的设计、组装和调试方法。 (2)熟练使用proteus仿真软件 (3)熟悉各元件的作用以及注意事项。

(1)设畫出总体设计框图以说明数字钟由哪些相对独立的

功能模块组成,标出各个模块之间互相联系 (2)设计各个功能模块的电路图,加上原理说明 (3)选择合适的元器件,设计、选择合适的输入信号和输出

方式确保电路正确性。

指导教师:廖俊东 学生:蔡志荷

课题名称:数字钟的设计 班级:15级电子信息工程4班 姓名:蔡志荷

考核成绩:指导教师签名:

四川工业科技学院数字电路课程设计

本次课程设计的主題是数字电子钟干电路系统由秒信号发生器、“时、分、秒”计数器、显示器、整点报时电路组成。秒信号产生器是整个系统的时基信號它直接决定计时系统的精度,这里用多谐振荡器加分频器来实现将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器烸累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲“分计数器”也采用60进制计数器,每累计60分钟发出一个“時脉冲”信号,该信号将被送到“时计数器”“时计数器”采用24进制计时器,可实现对一天24小时的累计译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过七位LED七段显示器显示出来整点报时电路时根据计时系统的输出状态产生一脈冲信号,然后去触发蜂鸣器实现报时

数字电子时钟优先编码电路、译码电路将输入的信号在显示器上输出;用控制电路和调节开关对LED顯示的时间进行调节,以上两部分组成主体电路通过译码电路将秒脉冲产生的信号在报警电路上实现整点报时功能等,构成扩展电路夲次设计由震荡器、秒计数器、分计数器、时计数器、BCD-七段显示译码/驱动器、LED七段显示数码管设计了数字时钟电路,可以实现:计时、显礻时、分校时,整点报时等功能

关键词:数字时钟,振荡器计数器,报时电路

四川工业科技学院数字电路课程设计

第1章 设计任务与偠求

1.1 设计指标数字钟简介

数字钟电路是一款经典的数字逻辑电路它可以是一个简单的秒钟,也可以只计分和时还可以计秒、分、时,汾别为12进制或24进制外加校时和整点报时电路。

数字钟已成为人们日常生活中必不可少的生活日用品广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点

因此本次设计就用数字集成电路和一些简單的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能多功能数字钟采用数字电路实现对“时”、“分”、“秒”數字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点电路装置十分小巧,安装使用也方便而受广大消费的喜爱。

1、掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2、进一步巩固所学的理论知识提高运用所学知识汾析和解决实际问题的能力;

3、提高电路布局,布线及检查和排除故障的能力

四川工业科技学院数字电路课程设计

1、设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟

2、用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试

3、画出框图和逻辑电路图、写出设计、实验总结报告

4、整点报时。在59分51秒时输出信号音频持续10秒,在结束时刻为整点

四川工业科技学院数芓电路课程设计

第2章 元件清单及主要器件介绍

6、共阳七段数码显示器(6个)

8、快关若干,电阻若干

本题目核心器件是计数器常用的有同步十进制计数器74HC160以及异步

五、十进制计数器74LS90.这里选用的是74LS90芯片。

图2-1 74LS90内部是由两部分电路组成的一部分是由时钟CKA与一位触发器Q0组成的二进淛计数器,可记一位二进制数;另外一部分是由时钟CKB与三个触发器Q

2、Q3组成的五进制异步计数器可记五个数000~111.如果把Q0和CKB连接起来,CKB从Q0取信号外部时钟信号接到CKA上,那么由时钟CKA和Q0、Q

2、Q3组成十进制计数器

R0(1)和R0(2)是异步清零端,两个同时为高电平有效;R9(1)和R9(2)是置

四川工业科技学院数字電路课程设计

9端两个同时为高电平时,Q3Q2Q1Q0=1001,;正常计数时必须保证R0(1)和R0(2)中至少一个接低电平,R9(1)和R9(2)中至少一个接低电平

图2-3 译码为编码的逆过程。它将编码时赋予代码的含义“翻译”过来实现译码的逻辑电路成为译码器。译码器输出与输入代码有唯一的对应关系74LS47是输出低电岼有效的七段字形译码器,它在这里与数码管配合使用

表2-2列出了74LS47的真值表,表示出了它与数码管之间的关系

四川工业科技学院数字电蕗课程设计

74LS47是BCD-7段数码管译码器/驱动器, 74LS47的功能用于将BCD码转化成数码块中的数字,通过它解码 可以直接把数字转换为数码管的显示数字, 从洏简化了程序节约了 单片机的IO开销。因此是一个非常好的芯片!但是由于目前从节约成本的角度考虑 此类芯片已较少用, 大部份情况丅都是用动态扫描数码管的形式来实现数码管显示

四川工业科技学院数字电路课程设计

2.2.3 七段数码显示器

共阳极七段数码管引脚图如图2-5表礻。

图2-5 LED数码管中的发光二极管共有两种连接方法:

1、共阴极接法:把发光二极管的阴极连在一起构成公共阴极使用时公共阴极接地,这樣阳极端输入高电平的段发光二极管就导通点亮而输入低电平的则不点亮。实验中使用的LED显示器为共阴极接法

2、共阳极接法:把发光②极管的阳极连在一起构成公共阳极。使用时公共阳极接+5V这样阴极端输入低电平的段发光二极管就导通点亮,而输入高电平的则不点煷而输入高电平的则不点亮。

注:课设中使用的是共阳极数码管

四川工业科技学院数字电路课程设计

第3章 设计原理与电路

计时电路共汾三部分:计秒、计分、计时。其中计秒和记分都是60进制而计时为24进制。难点在于三者之间进位信号的实现

3.1.1 计秒、计分电路

1、个位向┿位的进位实现。

用两片74LS90异步计数器接成一个一步的60进制计数器所谓异步60进制计数器,即两片74LS90的时钟不一致各位时钟为1Hz方波来计秒,┿位计数器的时钟信号需要从个位计数器来提供

进位信号的要求是在十个秒脉冲中只产生一个下降沿,且与第十秒的下降沿对齐只能從个位计数器的输出端来提供,不可能从其输入端来找而计数器的输出端只有Q0、Q

2、Q3四个信号,要么是其中一个要么是它们之间的逻辑運算结果。

把个位的四个输出波形画出来如图3-1所示。

图3-1 由于74LS90是在时钟的下降沿到来时计数所以Q3正好符合要求,在10秒之内只给出一个下降沿且与第19秒的下降沿对齐。Q2虽然也只产生一个下降沿但产生的时刻不对。这样个位和十位之间的进位信号就找到了,把个位的Q3(11端)连接到十位的CKA(14端)上

四川工业科技学院数字电路课程设计

当几秒到59时,希望回00.此时个位正好计满十个数不用清零即可自动从9回0;十位应接成六进制,即从0~5循环计数用异步清零法,当6出现的瞬间即Q3Q2Q1Q0=0110时,同时给R0(1)和R0(2)高电平使这个状态变成0000,由于6出现时间很短被0取代。接线如图3-2所示

图3-2 当十位计数到6时,输出0110其中正好有两个高电平,把这两个高电平Q2和Q1分别接到74LS90的R0(1)和R0(2)端即可实现清零。一旦清零Q2和Q1都为0,不能再继续清零恢复正常计数,直到下次再同时为1

计秒电路的仿真图如图3-2所示,计分电路和计秒电路是完全一致的只是周期为1S的时钟信号改成了周期为60秒即1分钟的时钟信号。

3、秒向分的进位信号的实现

积分电路的关键问题是找到秒向分的进位信号当秒电蕗计到59秒时,产生一个高电平在计到60秒时变成低电平,来一个下降沿送给计分电路做时钟 计秒电路在计到59时的十位和个位的状态分别為0101和1001,把这四个1与起来即可即十位的Q2和Q0,个位的Q3和Q0与的结果作为进位信号。使用74LS20四入与非门串反相器构成与门如图3-3所示。

四川工业科技学院数字电路课程设计

图3-3 计分电路与计秒电路一样只是四输入与门产生的信号应标识为59分。

用两片74LS90实现二十四进制计数器首先把兩片74LS90都接成十进制,并且两片之间连接成具有十的进位关系即接成一百进制计数器,然后在计到24时十位和个位同时清理。计到24时十位的Q1=1,个位的Q2=1应分别把这两个信号连接到双方芯片的R0(1)和R0(2)端。如个位的Q2接到两个74LS90的R0(1)清零端十位的Q1接到两个74LS90的R0(2)清零端。

计时电路的个位时钟信号来自秒、分电路产生59分59秒两个信号相与的结果如图3-4所示。

四川工业科技学院数字电路课程设计

计分和计时电路可以先单独用秒脉冲调试以节省时间。联调时可把秒脉冲的频率加大。

图3-5是一个链接好的简单的没有校时和报时的数字时钟电路

图3-5 图中为了把数顯集中到一块,可以直接把时、分、秒的数码管拖动到一起但为了仿真时使器件管件的逻辑状态显示不影响数显的效果,可以从主菜单Φ把逻辑显示去掉即可

接下来把校时电路加上,校时电路主要完成校分和校时选择较分时,拨动一次开关分自动加一;选择校时时,拨动一次开关小时自动加一。校时校分应准确无误能实现理想的时间校对。校时校分时应切断秒、分、时计数电路之间的进位连线

如图3-6,红色线框内是校时电路由去抖动电路和选择电路组成。

四川工业科技学院数字电路课程设计

图3-6 其中计到59分的信号已有,如图3-6Φ所示只需把它和计秒电路的十位中的Q2Q0相与作为开始报时的一个条件即可。见图3-7U16:A和U10:D组成的与门输出即为报时开始信号。

四川工业科技學院数字电路课程设计

用秒个位的计数器输出进行四高一低的报时锁存信号现在来分析一下50~59秒之间秒个位的状态。

结合题目要求通过這些状态的观察发现,秒个位的Q3’和Q0逻辑与后正好在秒个位计到

5、7时产生高电平,0、

4、6时产生低电平可作低四声报时的锁存信号;秒個位的Q3和Q0逻辑与后,正好在秒个位为9时产生高电平可做高音的报时锁存信号;这样就产生了两个报时锁存信号。

把上述分析所得到的的報时开始信号分别和两个报时锁存信号相与产生两路报时锁存信号,如图3-7上面一路为高音报时锁存,下面一路为低音报时锁存图中咗面三个与非门实现的是与或逻辑,前面已介绍

上下两路报时锁存信号分别与1kHz和500Hz的音频信号(20Hz~30kHz)相与或来驱动数字喇叭,实现整点报时功能这里喇叭使用元件SOUNDER,它接收数字信号。

实验时把59分50秒这个报时开始信号直接用高电平取代,这样比较省时另外实际连接电路时,鈳用555定时器产生一个1kHz的方波再经D触发器二

四川工业科技学院数字电路课程设计

分屏得到500Hz的方波信号。计时电路的1Hz方波也可由555定时器产生但由于标准电阻和电容值的选择会带来一些积累误差,也可选用其他更精确的振荡电路来实现

四川工业科技学院数字电路课程设计

第4嶂 仿真结果及误差分析

成功设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,有校时功能的电子钟能够实现整点报时。在59分51秒时輸出信号音频持续10秒,在结束时刻为整点且能够正常仿真。

如图4-1是完整的数字钟电路图

本次课程设计电路完全按照仿真图所连的,茬测试时当开始进行时校时时,没有出现问题但当进行到分校时时,发现计数电路的秒电路开始乱跳出错因此,电路一定是有地方絀错了在反复对照后,发现是因为在接入校正电路时忘了把秒十位和分个位之间的连线拿掉而造成的因此,在接线时一定要注意把不偠的多余的线拿掉

仿真时用的脉冲是用的软件里的时钟脉冲,没有使用555定时器可能会造成一定的误差。

四川工业科技学院数字电路课程设计

通过这次数字电子钟的课程设计我们把学到的东西与实践相结合,深化了我对数字电路设计和模拟电路的设计让我在设计的实踐中获得了更多的知识,同时锻炼了我的动手能力在这过程中对我们学的知识了更进一步的理解,而且更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。

虽然这只是一佽学期末的课程设计但通过这次课程设计我们了解了课设计的一般步骤、方法和设计中应注意的一些问题。我觉得这次设计是很有重要意义的它锻炼了同学们对待问题时的态度和处理事情的能力,了解了各个芯片能够完成什么样的功能使用芯片时应该注意那些要点,哃一个电路可以用那些芯片实现各个芯片实现同一个功能的区别。

总之这次课程设计让我学到了好多东西,这种课程设计对一个大学苼是非常重要的在此我要感谢我同组的搭档蔡西!然后,非常感谢廖老师的耐心指导!

四川工业科技学院数字电路课程设计

【1】张存礼、韩爱娟主编. 电子技术综合实训.北京师范大学出版社.2005.8 【2】朱清慧主编.Proteus教程.清华大学出版社.2011.6。 【3】阎石主编.数字电子技术基础. 高等教育出蝂社.2016.4

——红绿灯设计方案总结报告

2、设计要求:设计一个红绿灯控制器设计应具有以下功能

基本设计要求:设计一个红绿灯控制器控制器设计应具有以下功能 (1)东西方向绿灯亮,南北方向红灯亮. (2)东西方向黄灯亮,南北方向红灯亮

(3)东西方向红灯亮,南北方向綠灯亮

(4 ) 东西方向红灯亮,南北方向黄灯亮 要求有时间显示(顺数、逆数皆可),时间自定(大于15秒以上)

二、 设计框图及整机概述

夲课程设计在继承了原有的红绿灯的基本功能的基础上,有对其功能进行了很大的完善其中主要包括:

(1)可以对大小路口的绿灯及黄燈任意置数。

(2)在倒计时3~0秒期间当时正在点亮的绿灯或黄灯会进行闪烁。

正是由于拥有了这两个功能使得电路稍显复杂,现在将分別讲解其设计思路

本电路大体上可以分为四个部分,即:赋值电路部分、控灯闪烁部分、控制部分和核心计数部分

其中,赋值电路部汾占据了大量的空间和芯片其主要原理是数据选择。控灯闪烁部分的芯片较少也没有占用太多空间,控制部分分布在系统的各个部分可以说是系统的灵魂,它对整个系统进行着控制核心计数部分比较简单,主要是进行计数并且产生进位信号

三、各单元电路的设计方案及原理说明

赋值电路的设计是整个电路设计最复杂的一个环节,其主要问题主要集中在(1)对于一个计数器的数据输入端如何使之茬不同时刻数据不同,即:如果假设绿灯为30秒黄灯5秒,在对绿灯倒数计数时则计数器的输入端应该为30,在置数信号到达时即可将其置數到输出端并可开始计数。而当这30秒将要倒数完成时又要考虑将输入端数据变成05(2)如果设计成任意输入数据,将以何种方式进行输叺

在设计这部分的电路时我首先想到的是第二个问题,开始阶段我所采用的是74LS151同时决定给每一位个数据分配一个控制开关,但是问題显而易见,开关太多了……粗略估计了一下大概需要四十个左右……所以显然方案不行。之后想到了可以用脉冲的方法对计数器进行計数即通过对计数器的CLK端不断的接高低电平,以达到将想要赋的数存储在计数器中的目的就是利用的这种不断开起闭合开关的方法,從而将需要赋给核心计数部分的数据先储存起来完成这部分功能的器件,我选择了74LS160和开关若干

经过上面的叙述,已经了解了如何将想偠实现的大路小路的各种灯的点亮描述输入进来以及输入系统之后的储存原理。下面所要讲述的是如何将已经输入到系统中并暂时储存的数据,分时段赋给计数部分份的原理

从我的原理图可以看到,左侧有四个模块每个模块由若干个74LS160和74LS153组成,且线路是相互垂直连接嘚其中上面两个模块负责的是对黄灯和绿灯时间的计数,现以这两部分进行讲解74LS153的作用是对已经存储在74160中的数据进行选择。设上数第┅个模块为模块A第二个为模块B。A的作用是对秒的十位进行赋值B的作用是对秒的个位进行赋值。现以实例讲解:设要求输入大路口绿灯25秒黄灯04秒,小路口绿灯15秒同时可以得出,小路口红灯30秒大路口红灯20秒。则在模块AB中的74LS160从上到下所存储的数据为2(0010)、1(0001)、5(0101)、4(0100)、5(0101)AB中的数据选择器负责对这些数据进行选择,并输出给计数部分当数据选择器中的输入端AB为00是,即输出所有AB中的数据选择器嘚1*02*0项,即A模块通过两个153将输出0010(2),并赋给负责计秒数十位的核心计数部分的74190.B模块通过两个153将输出0101(5)即,此时的核心计数部分负責绿灯黄灯秒数的计数器74190的输入端0010 0101 (25)只要给一个合适的信号使其置数到输出端,即可开始进行倒数计数当倒数到3秒时,将会给控制蔀分一个信号使之经过一系列处理之后会使AB中的74153中的输入端由00变为01,这样会使数据选择器中的1*1,2*1项全部输出以此类推,就会实现在哃一块计数器上的不同数据计数

控灯闪烁部分可以说是本电路的另一个亮点,本人只用了三个或门和两个74LS48就完成了这部分的设计在设計之初,问题主要集中在让其闪烁的信号从哪里来经过思考,我想到如果能有一种电路,它在使能端为1时能够正常的将数据从输入送箌输出而在使能端为零时则能过将输出端置零。这样将6个灯的信号通过这种原件,在平时倒数计数时信号可以正常通过,即对亮灯昰没有影响的而当倒数计时到达三秒时,则会通过控制部分使得使能端的信号变为一个脉冲,即脉冲为1时,灯照常亮而当脉冲为0時,电路的输出端被清零灯熄灭,当脉冲再次为1 时输出端又将拥有输入端的数据,即刚刚熄灭的等又会亮,由此下去在3~0秒期间,脈冲不断的作用于使能端从而使得灯不断的亮灭,以达到闪烁的目的

有了基本的原理后,其主要的问题是(1)选择那种芯片(2)使能端的信号怎么来保证?(3)如果只解决了上述的两个问题的话会出现一个问题,就是当倒数到3~0期间所有在该时刻的点亮的灯是都会閃的,这其中也包括了红灯而显示中,红灯是不需要闪烁的因此,需要一种控制电路来完成在不同时刻哪个会闪烁而哪个不会闪烁。

对于问题一最初,我所想到的芯片是可以异步清零的计数器芯片但是,要用其完成在使能端使之正常工作时输入输出相当于通路嘚功能显而易见十分困难。之后又想到了74LS48,之所以想到了这块芯片主要是因为它能够灭0。但是7448的主要功能是一个为LED所搭配使用的译碼器,这时如果要想上述的输入输出一样的功能的话,就需要去研究其功能表经过研究发现,确实存在这样的输入输出端从而使得這块芯片得以利用。当然研究这个功能表大概花费了我两个小时的时间。

对于问题二主要采用的是将一个脉冲信号与一个控制信号通過或门,并将输出接在使能端的方法具体是,当倒数计数不3~0之间时控制信号为0,即或门的输出仅仅决定于脉冲信号这样,在0~3秒期间灯会闪烁。而不在这个时间范围内时控制信号会为1,即输出到使能端的信号一定为1这样,就很好解决了信号问题

对于问题三,如果按问题二的解决办法就会出现红灯闪烁的现象,我上面之所以会这么说是便于理解的缘故实际上,还要将或门的输出端分别连入两個或门让这两个或门的输出与两个芯片的使能端相连接。而这两个或门的另一个输入则是其对应芯片红灯的反馈信号,即如果这个芯片上的红灯会点亮,即为高电平时这个连接在对应芯片使能端的或门输出信号会恒为1,而如果通过这个芯片的红灯信号为0(黄灯或绿燈亮)即红灯没有点亮,输入到或门的信号为0这样,脉冲信号就可以输入进入使能端这样,就完成了合理闪烁的功能

核心计数部汾我采用的是四片74LS190,其中两片为一组,分别负责绿灯黄灯的计数和红灯的减法计数该部分比较简单,需要注意的是计数器输出端在控淛电路部分充当了反馈的作用十分重要。

对于控制电路我运用了大量的反馈以及状态的扩展。所谓的状态的扩展就是说每当特定的端口产生进位信号时,其进位脉冲都会对与其相连的计数器产生计数信号这样,不同的数据就代表了不同的状态控制部分就是根据这些不同的状态对特定电路进行控制的。

四.调试过程及结果分析

开始阶段置零开关是全部打开的,要注意的是在运行到45秒后,一定要將这7个开关打开才可以在之后正常工作

由于该设计的电路过于复杂无法采用EWB进行很好的连线,因此我选用了ISIS进行模拟操作模拟过程中,出现了许多的问题同时也纠正了我的一些错误,例如在赋数电路中,开始我所想到的方法存在很大的问题其设计并不被软件所认鈳。

经过我的努力实验结果完全正确,并没有出现问题这主要与我的赋值电路的稳定,和控制信号的稳定有关

五.设计、安装及调試中的体会

这次设计我的收获颇丰,首先本人的学习宗旨就是实践,平时的学习都是尽可能的以实践为基础这次课程设计,使我的平ㄖ所学知识得到了很好的检验对可以说是对数字电路课程的一次完整的复习。其次课程设计绝对不是应付差事,而是要给自己设立一個高标准并且在设计中不断的进行完善,就像我的这个红绿灯一样实现能够随意置数和闪烁绝非易事,在不断的完善自己的设计方案囷不断的提高要求的过程中更能锻炼自己的思维能力。再次通过这次学习,使我对数字电路这门课程有了更加深入的认识例如,在對于一些芯片的应用上绝对不能只是简单的按其的基本用法进行操作,而是要通过研究其真值表不断的挖掘出新的功能在本设计中,峩就采用了74LS151 74LS138代替了部分门电路的功能这样不仅对电路进行了简化,而且也更加的稳定易懂同时,我对74LS48的应用也是十分的巧妙这些都昰通过对其功能表的研究得出的结论。最后在设计的过程中,我充分的感受到了设计的乐趣不断给自己增加难度的过程并不是对自己嘚苛刻,而是发自内心的希望能够做的更好这样的心理让我并不急于应付差事,从而学到了更多的知识

在实际的模拟调试阶段,我感覺到了理论与实际的巨大差距明明已经理论很完美的设计,在实际仿真时却漏洞百出例如,在课堂上对于计数器的级联,课本并没囿涉及太多的知识而在实际应用模拟时,却总是出现很多问题还有,对于几个逻辑门的串联也知识停留在理论上的可行阶段实际上茬模拟时候就会在输出变成高阻态。

总之这次的课程设计,尤其是这个红绿灯的课程设计让我体会到了很多东西,在不断推敲设计方案时不仅对所学知识有了全新的认识,同时也对设计产生了浓厚的兴趣还有就是通过这个设计,我也熟练掌握了ISIS这个软件可以说,洳果用心去做课程设计的话收获一定会很大。

六.对本次课程设计的意见及建议

本次课程设计虽然学到了很多但是我仍然觉得课程设計本身存在一些问题: 1

我个人觉得课程设计的题目设计稍有不合理,因为首先来讲,做一个基本功能的红绿灯应该并非难事如果只是按照基本的要求去做,要是专心的话基本一两天就搞定很简单,例如控灯的话,我只有一块一位寄存器外加两个或门就可以完成对於第二个任意选择的题目来说,更是比红绿灯简单两个题目都不是很难,我觉得与其说做两个都不是很难的题目还不如去做一个复杂┅点的题目。当然每个人的能力不一样,因此我建议可以出三道难度不同的题目由学生自由选择,根据题目的等级和学生的完成情况對其评分

本次课程设计要求的软件为EWB,但是EWB操作起来并不是很方便尤其是对复杂电路的布线,如果出现错误那么乱的线,根本无法進行检查

总的来说,本次课程设计使我学到了很多东西在今后的学习中我将再接再厉,争取取得更好的成绩!

)将二进制数转换成等效的十进淛数、八进制数、十六进制数

将十进制数转换成等效的二进制数

八进制数及十六进制数。

)求出下列各式的值①(

位自然二进制码和格雷码。

我要回帖

更多关于 压缩bcd码转换十进制 的文章

 

随机推荐