不用电路,只输入一个公示,能用proteus仿真实例吗

当前位置: >
> 在PIC单片机仿真时不想用Proteus默认的电源,改用自
话题:在PIC单片机仿真时不想用Proteus默认的电源,改用自己设计问题详情:在PIC单片机仿真时不想用 改用自己设计 的电源电源电路供 回答1:一般不是很复杂电源是可以的,如果比较复杂电源电路 的话,对元器件设置,与模拟电源元件计算等,有一定的要求,PROTEUS,我们一般用他来,跑PIC单片机程序,有时库中理想元件与实际元件差役等,会出现仿真PROTEUS不能跑,实际硬件仿真器可以运行,这都是正常的,WANGWEI &&注。参考回答2:把电源电路 的 电路图画好,在输出端放一个 label,那么在相应的电源端加相应的Label就相当于脸上了该电源。不知道你是不是这个话题:PIC单片机是否支持ISP编程,以及ISP的编程硬件电路怎么设 回答1:支持的,不过是要买适配器的,自己不太好做参考回答2:poikji话题:谁能帮我设计 一个用pic单片机电能采集的设计 电路图,及其问题详情:谁会的帮帮忙 谢了 回答1:额,楼上的,Pic是一个单片机系列,跟PLC没有 &&你问题也太牛了吧,电路,程序全给你搞了,这等于请人把事儿全干了啊。自己认真 ,实实在在学点东西不好吗?不要指望会有人给你发,没有人会把自己的劳动成果这么无偿的给你, 给企业还能赚个万八千的呢。采集三相 ATT7022B,我用过,国产但很好用, 性也高,单相的CS5460用的不少,做好信号采集,SPI读出来就行。互感器我用过元星的,可以直接打给厂家,告诉他们你的精确级,他们会给你 型号。当初用了他们的,线性度好的有点让人惊讶,做到0.5级很轻松。祝你成功,并希望你能真正的学到知识。参考回答2:查看去年近几期的无线电杂志,记得有专门文章介绍 这类电能表,具有图文并茂。参考回答3:pic还是plc?学 就要认真,输入文字也是一样的。话题:想用PIC单片机做一个40*40的矩阵,应怎样设计 电路? 回答1:利用HC595串入并出芯片,五个一组,共两组,需要三个I/O口,即可参考回答2:一片40*40的矩阵不需要IO控制一般40*40/4094串行键盘单点键
一般采用3个IO(最少)控制4片40*40,1个IO读键值话题:PIC单片机控制蓄电池放电开关驱动电路,请各位大侠帮忙设 回答1:单片机管脚控制NPN三极管,驱动一个PMOS来实现控制参考回答2:单片机管脚控制NPN三极管,驱动一个PMOS来实现控制,也可以用来通过驱动A/D加继电器话题:用PIC单片机控制直流伺服电机转向和转速。哪位大侠能设计 回答1:pic和51在硬件上没有任何区别啊。就是编程的时候区别蛮大的,都用pwm控制啊,这个电路图应该很简单的。参考回答2:用全桥的方式驱动。: image.baidu./i?ct=&z=0&tn=baiduimagedetail&word=%B5%E7%BB%FA%C7参考回答3:上Microchip 网页上找: .microchip./stellent/idcplg?IdcService=SS_GET_PAGE&nodeId=1483话题: 做单片机毕业设计问题详情:高质量 专业指导 绝对原创
==&> 名 回答1:专业 做 自动化专业,测控专业等,电子类,单片机类毕业设计。 ==
名电路图, 程序, 实物话题:这样一个电路问题详情:这样一个电路 输入是220VAC
1.5KW 通过pic单片机控制 两个 回答1:单片机控制继电器,继电器控制220V电就行了。参考回答2:我觉得最好还是用固态继电器吧,pic用c语言来编程的差不多,不过 器,最小系统那些还是挺不一样的话题:求用51或PIC单片机 设计 的清洁机器人的电路图。问题详情:有的 请发到我 里。# 。 回答1:机器人是由很多不同的模块组成的啊,电路,机械,控制,都要有的呢。关于这些方面的具体知识,建议你去robot360去学 小吧,那边 很多,也有很多高手可以和你讨论的。参考回答2:给你电路图你也要不转,程序你也写不了,还是从基础学吧参考回答3:年轻人的脚步要稳,慢慢来!机器人是要硬件,机械,编程的从基础开始吧话题:请问有那位大侠知道PIC单片机 电路设计电子版书籍在哪里下 回答1:我也想要,那里有???
问:在PIC单片机仿真时不想用Proteus默认的电源,改用自己设计的电源电源电路供电...
答:一般不是很复杂电源是可以的,如果比较复杂电源电路的话,对元器件设置,与模拟电源元件计算等,有一定的要求,PROTEUS,我们一般用他来,跑PIC单片机程序,有时...
为什么在Proteus中的pic单片机...
proteus中的单片机AT89C51不需要...在51单片机仿真时不想用Proteus默认的电源,改用自己设计的...问:请帮助,急!先谢
答:protues仿真除了程序之外其他几乎什么都不能改 protues只是给51单片机提供一个 码运行环境不能像实物一样具有物理特性 所以要仿真模拟电路最好还是用专业的模拟...单片机 电路的设计与仿真用什么软件?multisim还是proteus...问:具体在设计 一个单片机系统时,在做板子,做模型前,用不用proteus软件仿真?...
答:单片机的软件仿真Proteus肯定是首选了,有条件的话我更 硬防,买相应单片机的硬件仿真器,搭建硬件 来仿真效果肯定是比软仿更好,multisim的单片机种类太...proteus和单片机的仿真问题_ 答: ,你拨码开关前面或者后面串联电阻了吗!你不觉的一开开关5V就直接灌倒P2里了啊!你的大图看上去电阻是并联到了P2和电源指间,电源是可以绕过电阻直接进入P1...
单片机仿真(proteus)
proteus中单片机仿真程序问题
proteus单片机仿真电源要怎么弄出来_ 答:右键—&place—&Terminal—&power(修改电源值)就可以了
基于单片机的可调直流稳压电源的...
proteus中的单片机AT89C51不需要...
proteus仿真软件可以仿真多少种单片机?分别是什么单片机? ...答:51、MSP430、PIC、 R常见常用的都有。而ARM的支持有ARM7、Cortex-M3的。基于PROTEUS的PIC单片机设计与仿真是学什么的_ 答:主要是学 pic单片机的编程和使用 但是仿真的话 就是说 你不用买硬件就能进行单片机编程的练
求PIC16系列单片机C程序设计与...
求 PIC16系列单片机C程序设计与...
为什么在Proteus中的pic单片机没有电源和地_ 为什么在Proteus中的pic单片机没有电源和地Proteus里面大部分逻辑IC都不会标出电源和地,甚至单片机连复位proteus怎么仿真PIC单片机?怎么往里面导入程序? 问:除了MATLAB外还有没有别的办法?
答:我这里有 proteus的学 教程 pdf格式的 里面有常用的各种使用方法 你需要的话可以hi我pic单片机用什么模拟软件来仿真? 答:主要有二种,一种是MPLAB IDE自身带的SIM仿真,另一种是著名的PROTEUS仿真。前者仿真只能是一般的仿真,很多模块如SPI,IIC等不能仿真。而后者则在支持PIC单片机...
PIC单片机那一种仿真器好?
pic 单片机在protues中仿真? 17:51:57
自动化的毕业论文和毕业设计啊?带CAN总线的PIC芯片有哪些?谁了解汽车CAN总线方面的知识?求助,作用及性能?求毕业设计&CAN总线通信电路设计&,设计 种基于单片机?了解PIC单片机应用Proteus仿真单片机的方法_图文_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
用Proteus仿真单片机的方法
上传于||文档简介
&&P​r​o​t​e​u​s​仿​真​单​片​机​的​方​法
阅读已结束,如果下载本文需要使用
想免费下载本文?
下载文档到电脑,查找使用更方便
还剩9页未读,继续阅读
你可能喜欢基于proteus仿真的信号发生器,proteus仿真,proteus仿真100例,proteus仿真论坛,pro..
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
基于proteus仿真的信号发生器
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口您所在的位置: &
用Proteus建立一个51单片机最小系统的电路图
用Proteus建立一个51单片机最小系统的电路图
清华大学出版社
《简简单单学通51单片机开发》第1章简单了解51单片机,本章的学习目的是让大家对51单片机感兴趣,尽可能简化学习的过程。本节为大家介绍用Proteus建立一个51单片机最小系统的电路图。
1.4.2& 用Proteus建立一个51单片机最小系统的电路图
在这一节来完成一个单片机最小系统的电路图的绘制。在本章的第一节,我们了解到要使51单片机正常工作,就必须有复位电路和时钟电路。所以最小系统必须添加上这些电路。接下来就依次来添加这两个电路。
(1)涉及时钟电路,自然需要时钟源了,所以我们需要找到晶体振荡器。按照如图1-49所示的方法找到搜索框,然后在搜索框中,输入关键字cry,在micellaneous库中找到元件晶体振荡器crystal(晶体),如图1-50所示。
(2)上电复位需要一个按键来完成,还是按照如图1-49所示的方法找到搜索框,在搜索框中输入button,如图1-51所示。在witches&Relays(开关和继电器)库中就可以找到开关BUTTON了。
(3)找到需要的元件后,按照如图1-52所示的连接方式,就可完成51单片机的最小系统的连接。从图1-52中可以看到,51单片机管脚不是40脚,它只有38个引脚,少了第20脚和第40脚。我们知道单片机的第20脚为单片机的接地脚,第40脚为单片机的电源接入点。难道是Proteus失误没有设计这两个引脚吗?不是的,因为这两个引脚都是Proteus隐藏的。也就是在运行仿真时,软件自动给我们接地和电源。不仅是单片机,Proteus中所有的集成电路的接地引脚和电源引脚都是软件自动连接的,这样可以减小我们的工作量。
双击51单片机,出现如图1-53所示的&编辑元件&对话框。从图中可以看到51单片机的Clock Frequency(时钟频率)这个选项,这个选项是确定单片机的时钟的,在Proteus中可以不用添加时钟电路甚至复位电路。
Proteus考虑得很全面,尽量简化设计过程。我们不需要添加时钟电路和复位电路,为什么又要求大家刻意画呢?这是想让大家养成良好的习惯,上图所画的51单片机最小系统完全可以运用到实际项目之中。同时也希望读者明白仿真不能完全代替实际的电路,所以不仅要将仿真做好,还应该做些实际电路。
双击51单片机,出现如图1-53所示的&编辑元件&对话框。从图中可以看到51单片机的Clock Frequency(时钟频率)这个选项,这个选项是确定单片机的时钟的,在Proteus中可以不用添加时钟电路甚至复位电路。
Proteus考虑得很全面,尽量简化设计过程。我们不需要添加时钟电路和复位电路,为什么又要求大家刻意画呢?这是想让大家养成良好的习惯,上图所画的51单片机最小系统完全可以运用到实际项目之中。同时也希望读者明白仿真不能完全代替实际的电路,所以不仅要将仿真做好,还应该做些实际电路。
喜欢的朋友可以添加我们的微信账号:
51CTO读书频道二维码
51CTO读书频道活动讨论群:
【责任编辑: TEL:(010)】&&&&&&
关于&&的更多文章
学习单片机开发离不开实践。将理论和实例结合起来是最好的方式。
本书描述了黑客用默默无闻的行动为数字世界照亮了一条道路的故事。
讲师: 17人学习过讲师: 25人学习过讲师: 38人学习过
学习单片机开发离不开实践。将理论和实例结合起来是最
本书由浅入深,全面细致地讲述了SQL Server 2012的功
本书通过实践的方式,将网站优化的全部过程,系统而全
本书由浅入深、循序渐进地介绍了目前流行的基于Eclipse的优秀框架。全书共分14章,内容涵盖了Eclipse基础、ANT资源构造、数据库
51CTO旗下网站请问我用STC12C5410AD做的的测温电路可以在proteus里用ADC0809+AT89C51来仿真吗?求ADC0809的接法。。。-中国学网-中国IT综合门户网站
> 请问我用STC12C5410AD做的的测温电路可以在proteus里用ADC0809+AT89C51来仿真吗?求ADC0809的接法。。。
请问我用STC12C5410AD做的的测温电路可以在proteus里用ADC0809+AT89C51来仿真吗?求ADC0809的接法。。。
转载 编辑:李强
为了帮助网友解决“请问我用STC12C5410AD做的的测”相关的问题,中国学网通过互联网对“请问我用STC12C5410AD做的的测”相关的解决方案进行了整理,用户详细问题包括:RT,我想知道:请问我用STC12C5410AD做的的测温电路可以在proteus里用ADC0809+AT89C51来仿真吗?求ADC0809的接法。。。,具体解决方案如下:解决方案1:可以,只要你不用到stc单片机中的AD功能和PWM功能即可通过对数据库的索引,我们还为您准备了:答:可以,只要你不用到stc单片机中的AD功能和PWM功能即可===========================================答:sdafffffffff===========================================答:分压 然后测电压。===========================================问:最近我买了个STC12C5410AD,我用P2.1(红)和P2.0(绿)口同时点亮LED,...答:可能是芯片本身的问题,你换一片芯片试试,芯片有问题的可能性是很大的===========================================问:本人想用STC12C5410AD(带A/D转换功能)单片机测12V锂电池电量。测量结...答:我来答。===========================================问:本人想用STC12C5410AD(带A/D转换功能)单片机测12V锂电池电量。测量结...答:STC12C5410AD 单片机,是高速/ 低功耗/ 超强抗干扰的新一代8051 单片机,指令代码完全兼容传统8051,但速度快8-12 倍,内部集成MAX810 专用复位电路。4 路PWM,8 路高速10 位A/D 转换,针对电机控制,强干扰场合。 1. 增强型 8051 CPU,1T,单时钟/...===========================================问:用红外传感器测量距离,假设P1.0口接受信号,当距离是在a~b之间没事,当...答:红外测距想要精确很麻烦~ 另外,你的财富值不够多……===========================================问:最小系统接好后,如果5410ad的有些管脚用不上,可以悬空么?还是必须要...答:1、5410ad的有些管脚用不上,可以悬空的,什么电阻也不用接 2、如果不要求晶振频率很精确的话,就不用外接晶振了,内部有RC时钟电路,可以省掉外部晶振的,在下载程序时有个选项,选内部RC电路就行。 3、外部复位电路也可以省掉的,内部也有的,...===========================================问:用该单片机外接脉冲信号。要计算脉冲周期,现在我的电路是将脉冲信号采...答:STC12C5410系列单片机是由宏晶科技生产的单时钟/机器周期(1T)的兼容8051内核单片机,是高速/低功耗的新一代8051单片机,全新的流水线/精简指令集结构,内部集成MAX810专用复位电路。其主要性能特点如下: 1.增强型1T流水线/精简指令集结构805...===========================================可以,只要你不用到stc单片机中的AD功能和PWM功能即可===========================================我来答。===========================================你是说ALE引脚么?STC的20和28和32引脚的单片机是没这东东的!或许你可以用外部震荡电路给XTAL1提供频率,而XTAL2则输出时钟=========================================== 电路很简单 信号直接接在P1口上,控制寄存器就可以,然后送串口,然后接串口转换器(max232送到pc机上===========================================STC12C5410系列单片机是由宏晶科技生产的单时钟/机器周期(1T)的兼容80... 以及硬件看门狗、电源监控、片内RC振荡器等模块。可以说STC12C5410AD单片机几...===========================================把AD的结果通过IO口输出就可以了 这个程序P1.7做AD脚的,你稍微小改下,有什么迷糊的,直接搬下来用就OK了,反正我一直这么用,他也没脾气。 STC的单片机基本都差不...==========================================="#include stc12c5410ad.h //STC12C5406AD"就这句话吗?? 汇编里不用写的。这是C语言包含的头文件,汇编里不需要。===========================================STC12C5410AD单片机可以外接晶振27M, 最高可以接35M的,但是在频率比较高的时候最好使用有源晶振。===========================================CPU,1T,单时钟/ 机器周期,指令代码完全兼容传统8051 2. 工作电压: STC12C5410AD 系... 15. A/D 转换, 10 位精度ADC,共8 路。 16. 通用全双工异步串行口(UART),由于STC12 系...===========================================这个要找个烟雾控头的引脚资料,通过单片机去控制,再去驱动后级设备就行了。你这是在要方案,哪有那么多现成的?都要自己 做的。===========================================
本文欢迎转载,转载请注明:转载自中国学网: []
用户还关注
可能有帮助

我要回帖

更多关于 proteus仿真电路图 的文章

 

随机推荐