VHDL程序 设计一模为20的十进制计数器vhdl,能够通过按键切换两种计数速度,通过七段

求程序:用vhdl语言编写出20进制计数器并在数码管上显示出来_百度知道
求程序:用vhdl语言编写出20进制计数器并在数码管上显示出来
=&quot,out1b);&,out1b;output1&u1;;=&architecture Behavioral of disp isbeginprocess(clk)begin case input is
when 0 =&gt,out1a;use IEEE;=&output1&&quot:disp port map(;output2&output2&output1&;=&&=&
when 6 =&beginprocess(clk)beginif clk'&quot:=&;&
output2;00001&quot.ALL;&=&
when 18=&=0;=&quot,out2b;output2&=&: integer range 0 to 19;&;
out1a;output1&output2&output1&lt:out std_logic_vector(7 downto 0);
when 7 =&;output1&&
when 14=&=&quot:in std_=&output2&
when others =&;=0;;temp1&;;output1&=&;
temp2&=&=&=&quot,out2a; then
if temp1=19 then
if temp2=19 then
temp1&=&11110&output1&&output2&&output2&;=&&output2&&quot:=&output1&=&
end if:integer range 0 to 19;=&quot:=&;=&quot:out std_logic_vector(7 downto 0),不知道你是用的什么数码管我用的8段共阴library IEEE;
when 9 =&end B
when 2 =&gt,temp2;; else temp1&=&output1&output2&output1&11110&output1&
when 15=&=&output2&=0;;output2&=&output1&=&
when 1 =&output1&output1&;entity disp isport(architecture Behavioral of count20 iscomponent disp is port(output2&;
when 13=&gt:=&output2&lt:in std_=&;output2&;;;&output1&&:disp port map(event and clk='=&00&&=&quot:=0;output1&=&&use IEEE;;output2&signal temp1;=&&=&&quot.ALL;
when 12=&gt:=0;;=&output2&lt,temp1;output1&;=&output1&lt.STD_LOGIC_1164;;=&;
when 4 =&gt,out2b);=&
output1;;;&=&=temp1+1: integer range 0 to 19,temp2;&;1'output2&
output1;end count20;:out std_logic_vector(7 downto 0);output2&
when 19=&00000&=&&
when 17=&.STD_LOGIC_0&=temp2+1;=&000000&=&00&output2&;=&&output2&temp2&output2&&
when 3 =&gt:out std_logic_vector(7 downto 0);u2;00000&;=&=&;&
when 8 =&&entity count20 isport(=&
when 5 =&output1&:in std_&显示模块;&output1&lt:=0:out std_logic_vector (7 downto 0);
when 10=&end B;:=&计数模块library IEEE,out2a;&quot
其他类似问题
为您推荐:
vhdl语言的相关知识
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁求EDA用VHDL语言的程序设计,急急急!给高分!(要求完成一个具有异步复位和同步使能功能的10进制计数器)_百度知道
求EDA用VHDL语言的程序设计,急急急!给高分!(要求完成一个具有异步复位和同步使能功能的10进制计数器)
急求EDA用VHDL语言的程序设计,急急急!给高分!不解释!要求在QuartusⅡ软件中采用V订迹斥克俪久筹勋船魔HDL语言完成一个具有异步复位和同步使能功能的10进制计数器的设计。要求如下:1、通过3个按键分别控制时钟信号、使能信号和复位信号;2、计数器的计数值通过1个数码管实时显示、进位输出通过一个发光二极管的亮来表示;3、时钟脉冲的控制首先通过单个按键引入,然后通过实验箱上的Clock0引脚引入连续脉冲;4、对实验结果进行测试时,使用QuartusⅡ软件中的嵌入式逻辑分析仪Signal TapⅡ实时测试,并观察计数器的相关端口信号的变化。
有谁会解答,速度回答,谢谢啦!采纳后再加分!
提问者采纳
library&use&ieee.std_logic_1164.use&ieee.std_logic_arith.use&ieee.std_logic_unsigned.entity&shicount&is&port(clk,reset,enable:&in&std_&&&&&&&&&a,b,c,d,e,f,g:&out&std_&&&&&&&&&tp&&:&&out&std_logic_vector(0&to&3);&&&&&&&&&xian:&out&std_logic_vector(0&to&6);&&&&&&&&&count&&:out&std_logic);end&architecture&xu&of&shicount&is&&&&&signal&temp&&&:std_logic_vector(0&to&3);&&&&&signal&xianshi:std_logic_vector(0&to&6);beginprocess(clk,reset,enable)begin&&&if&(reset='1')then&temp&=&0000&;&&&&elsif&(enable='1')&then&&&&&&if&(clk'&event&and&clk='1')&&&&&&&&&&&then&&if&(temp&=&1000&)&then&temp&=temp+1;&&&&&&&&&&&&&&&else&temp&=&0000&;&&&&&&&&&&end&&&&&&&end&&&&end&end&&process(temp)&&&&begin&&&&&&&&&case&temp&is&&&&&&&&&&&when&&0000&=&&xianshi&=&0000001&;count&='0';&&&&&&&&&&&&when&&0001&=&&xianshi&=&0110000&;count&='0';&&&&&&&&&&&when&&0010&=&&xianshi&=&1101101&;count&='0';&&&&&&&&&&&whe订迹斥克俪久筹勋船魔n&&0011&=&&xianshi&=&1111001&;count&='0';&&&&&&&&&&&when&&0100&=&&xianshi&=&0110011&;count&='0';&&&&&&&&&&&when&&0101&=&&xianshi&=&1011011&;count&='0';&&&&&&&&&&&when&&0110&=&&xianshi&=&0011111&;count&='0';&&&&&&&&&&&when&&0111&=&&xianshi&=&1110000&;count&='0';&&&&&&&&&&&when&&1000&=&&xianshi&=&1111111&;count&='0';&&&&&&&&&&&when&&1001&=&&xianshi&=&1110011&;count&='1';&&&&&&&&&&&when&others=&&xianshi&=&0000000&;count&='0';&&&&&&&&&end&&&&&end&&&&&&&a&=xianshi(6);&&b&=xianshi(5);&&c&=xianshi(4);&d&=xianshi(3);&&&&&&&&e&=xianshi(2);f&=xianshi(1);&&g&=xianshi(0);&&tp&=&&&&&&xian&=&end&
提问者评价
已经做完实验了,回答者很用心。谢谢!
其他类似问题
为您推荐:
异步复位的相关知识
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁责任编辑:hrchenwei
本文引用地址:
扎堆网,专业杂志期刊广告购买和论文发表平台 合作联系洽谈QQ:
论文发表服务电话:
论文发表咨询QQ:
广告购买咨询电话:&&&&
广告购买咨询QQ:
地址:北京市右安门外大街迦南大厦9楼&&&&
邮编:100069
版权所有@zhadui&&&&
鄂ICP备:1562031安全检查中...
请打开游览器的javascript,然后刷新游览器
浏览器安全检查中… .
还剩 5 秒&VHDL-20_图文_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
评价文档:
上传于||文档简介
&&电​子​科​大​v​h​d​l​教​程​p​p​t
大小:282.00KB
登录百度文库,专享文档复制特权,财富值每天免费拿!
你可能喜欢

我要回帖

更多关于 vhdl计数器 的文章

 

随机推荐