多谐振荡器产生能CLKverilog产生时钟信号号吗。

数电数字电子时钟设计_图文_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
数电数字电子时钟设计
上传于||文档简介
&&数​电​课​程​设​计
阅读已结束,如果下载本文需要使用0下载券
想免费下载更多文档?
下载文档到电脑,查找使用更方便
还剩15页未读,继续阅读
你可能喜欢作业及往届考题_图文_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
评价文档:
作业及往届考题
上传于||文档简介
&&应​用​光​学​试​题​及​答​案
大小:2.16MB
登录百度文库,专享文档复制特权,财富值每天免费拿!
你可能喜欢 上传我的文档
 下载
 收藏
毕业于医学院校,在医院工作,有相对丰富的护理经验
 下载此文档
正在努力加载中...
多功能数字钟
下载积分:2500
内容提示:多功能数字钟
文档格式:DOC|
浏览次数:1|
上传日期: 06:39:25|
文档星级:
该用户还上传了这些文档
多功能数字钟
官方公共微信用集成电路做时钟。_百度知道
用集成电路做时钟。
要可靠方便,秒,分.最好能留下联系方式.可以在任意时刻校准时间。 2。 十二小时循环一次。 5。 3.不用编程就能实现.秒信号最好精度一下。
4。只用一只按钮开关实现.用数字显示时1
提问者采纳
数字钟显示时。 4)…… 3,对需要校时的数码管在相对应的CLK端口提供脉冲信号实现校时,将32768Hz的振荡信号分频为1HZ的分频倍数为32768( ),由于设计方便,但是由于与实验中使用的555芯片产生的脉冲相比较,再转入正常计时状态即可,同时在显示上能够更加接进预定的值。具体设计接出控制端的9:不耗时钟脉冲)。 2.熟悉集成元器件的选择和集成电路芯片的逻辑功能及使用方法,即实现该分频功能的计数器相当于15级2进制计数器。 3.掌握面包板结构及其接线方法 4.熟悉仿真软件的使用,不必使用分频器来对高频信号进行分频使电路繁复。 本次课程设计要求设计一个数字钟,因此得到了广泛的使用。秒信号送入计数器进行计数。‘时’显示由二十四进制计数器。 5。 5,原因分析是由于使用的是普通的开关同时利用的是手动的对CLK端口赋予脉冲信号,借由本次设计的机会,实验中主要用到了160的置数清零功能(特点,而是使用信号发生器实现信号的提供。 数字钟原理框图(1.在此使用555振荡器组成1Hz的信号、‘分’,电容C1被充电,为了得到1Hz的秒信号输入:消耗一个时钟脉冲)、计数器。 数字钟从原理上讲是一种典型的数字电路、分、译码器,校正好后,受外界环境的干扰较少、按时自动打铃. 在实验实现过程中使用的是通过开关(普通开关)来实现高低电平的切换,基本要求为数字钟的时间周期为24小时。(本实验报告中着重按照原方案设计的555电路进行说明) 4。目前,将脉冲提供到所需要的输入(CLK)端口.1、译码器和显示器组成计时系统、定时广播,其中秒个位和秒十位计数器,其中包括了组合逻辑电路和时序电路,而根据设计要求.1,5,数字钟的时间基准一秒对应现实生活中的时钟的一秒、“秒”:59的时候要实现清零的工作。实现正确的显示,3,数字钟应具有分校正功能。 分区的显示及整体电路反馈清零,同时标准的1HZ时间信号必须做到准确稳定,利用的还是与非门,采用的是置数的方式(利用RCO端口)。 3)具有闹钟的功能、‘秒’的数字显示出来,充分将所学的知识运用到实际中去,一般采用多级2进制计数器来实现,采用CLR清零的方式反馈清零,实现正常的校时),同过电压表的测量能很好的观察到这一点;而在我们进入实际电路连接的时候、定时启闭路灯等。 3)用555定时器与RC组成的多谐振荡器产生一个标准频率(1Hz)的方波脉冲信号,时个位和时十位计数器为24进制计数器、译码器,利用晶振产生的脉冲信号更加的稳定,在上级160控制下级160时候通过组合电路(主要利用与非门)实现,并且有多种专门的大规模集成电路可供选择,数字钟的功能越来越强,一定程度上优于使用555芯片产生信号方式.1数字钟的构成
数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路、秒的功能.
5。供扩展的方面涉及到定时自动报警。 从有利于学习的角度考虑,把累计的结果以‘时’,才能够在脉冲生成时候不产生干扰的脉冲。虽然此振荡器没有石英晶体稳定度和精确性高、显示器构成。 5.2时间计数器电路
时间计数电路由秒个位和秒十位计数器。其中OUT为输出、组装与调试方法。其原理框图如图1,因此,清零功能(特点。设计中注意到接的是一个与非门而不是与门、分频器,在秒区十位向时区个位显示的时,需要对振荡器的输出信号进行分频。 2 设计要求及指标 2.1基本功能 1)时钟显示功能,不断的充放电从而产生一定周期的脉冲.5数字时钟的计数显示控制 在设计中、显示器构成,能够正确显示“时”.1,这里主要介绍以中小规模集成电路设计数字钟的方法: 当数值显示达到:————实现个位的计数。 2)具有快速校准时,不是用手触开关产生脉冲信号(如若需用手触则需要使用一个锁存器实现去抖动,利用开关(手控导线点触实现)来实现校时再不像仿真那样的精确了。 2.2扩展功能 1)用晶体振荡器产生一个标准频率(1Hz)的脉冲信号,由不同进制的计数器。 经过了数字电路设计这门课程的系统学习,当电路计数到1001的时候采用一个二输入与非门接上级输入的高位和低位输出作为下级的信号,我们使用的是74**160十进制计数器.通常,来实现计数的功能:首先截断正常的计数通路,实现了秒区的个位和十位的显示与控制,并没有达到仿真的精确效果,但是在实验中通过改进电路的校时方式,然后通过电阻和三极管放电、‘秒’显示分别由六十进制计数器.1振荡器电路
555定时器组成的振荡器电路给数字钟提供一个频率为1Hz的方波信号:——产生了六个脉冲的时候向下级输出一个时钟脉冲,手动赋予需要的高低电平来实现脉冲的供给.由于计数的起始时间不可能与标准时间一致,目标在产生一个时钟脉冲,特别经过了关于组合逻辑电路与时序逻辑电路部分的学习,如此,校正时间的方法是、电路原理分析 5,目标仍是实现正确的计时显示。我们组依然同时设计了555和晶振两个信号产生电路,我们已经具备了设计小规模集成电路的能力,将非门输出信号的值反馈给各个160芯片的清零端(CLR)既可以实现清零了,即相当于产生了多个的脉冲信号对需要的数码管进行校时、分,在实现手动生成脉冲信号的过程中产生了扰动,当电压上升到一定数值时里面集成的三极管导通.
根据要求,成为了设计时的首选,其将影响到整一个电路的是否工作、译码器.1) 5.1、 系统设计框图 数字式计时器一般由振荡器,研究数字钟及扩大其应用。在本设计中555振荡器及其相应外部电路组成标准秒信号发生器、秒,操作简单,仿真过程中能够正常校时并且在校时的时候达到了预定的效果,故需要在电路上加一个校时电路。因此。 1 设计目的 1.掌握数字钟的设计。 2)具有整点报时的功能。
5,实现校时。 由秒区向分区的显示控制,数字钟的晶体振荡器输出频率较高.2 校时功能的实现 当重新接通电源或走时出现误差时都需要对时间进行校正,有着非常现实的意义,在连接电路的时候要注意并且强调使能端的连接。例如、“分”,并采用正常计时信号与校正信号可以随时切换的电路接入其中,应截断分个位的直接计数通路: 秒钟由个位向十位进位,分个位和分十位计数器及时个位和时十位计数器电路构成,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端、显示器等几部分组成、方案论证与比较 本设计方案使用555多谐振荡器来产生1HZ的信号,2用十六进制表示后高电平对应引脚接与非。通过改变相应的电阻电容值可使频率微调、分个位和分十位计数器为60进制计数器:23,利用此方式实现校时则比手触开关方式效果要好,‘分’、分。
电路的控制原理如下。 通常实现分频器的电路是计数器电路.1.3分频器电路 通常。 5,通过改变电路上器件的值可以微调脉冲周期.4振荡器电路
利用555定时器组成的多谐振荡器接通电源后.1所示,具有更更长的使用寿命、秒计时的装置,且无机械装置,与机械式时钟相比具有更高的准确性和直观性数字钟是一种用数字电路技术实现时: 基本原理同上
其他类似问题
为您推荐:
其他1条回答
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。 从有利于学习的角度考虑,这里主要介绍以中小规模集成电路设计数字钟的方法。 经过了数字电路设计这门课程的系统学习,特别经过了关于组合逻辑电路与时序逻辑电路部分的学习,我们已经具备了设计小规模集成电路的能力,借由本次设计的机会,充分将所学的知识运用到实际中去。 本次课程设计要求设计一个数字钟,基本要求为数字钟的时间周期为24小时,数字钟显示时、分、秒,数字钟的时间基准一秒对应现实生活中的时钟的一秒。供扩展的方面涉及到定时自动报警、按时自动打铃、定时广播、定时启闭路灯等。因此,研究数字钟及扩大其应用,有着非常现实的意义。 1 ...
您可能关注的推广
集成电路的相关知识
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁数字时钟实验报告
数字时钟实验报告
【实验报告】 池锝网
本文已影响 人
篇一:数字钟实验报告 数字钟实验报告 班级: 电气信息I类112班 实验时间: 实验地点: 指导老师: 目录 一、实验目的--------------------------------------------------------------------------------------------------------------3 二、实验任务及要求-----------------------------------------------------------------------------------------------------3 三、实验设计内容--------------------------------------------------------------------------------------------------------3 (一)、设计原理及思路---------------------------------------------------------------------------------------------3 (二)、数字钟电路的设计 ----------------------------------------------------------------------------------------4 (1)电路组成------------------------------------------------------------------------------------------------------4 (2)方案分析------------------------------------------------------------------------------------------------------10 (3)元器件清单---------------------------------------------------------------------------------------------------11 四、电路制版与焊接------------------------------------------------------------------------------------------------------11 五、电路调试---------------------------------------------------------------------------------------------------------------12 六、实验总结及心得体会------------------------------------------------------------------------------------------------13 七、组员分工安排---------------------------------------------------------------------------------------------------------19
一、实验目的: 1.学习了解数码管,译码器,及一些中规模器件的逻辑功能和使用方法。 2.学习和掌握数字钟的设计方法及工作原理。熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法。 3.了解PCB板的制作流程及提高自己的动手能力。 4.学习使用protel软件进行电子电路的原理图设计、印制电路板设计。 5.初步学习手工焊接的方法以及电路的调试等。使学生在学完了《数字电路》 课程的基本理论,基本知识后,能够综合运用所学理论知识、拓宽知识面,系统 地进行电子电路的工程实践训练,学会检查电路的故障与排除故障的一般方法 锻炼动手能力,培养工程师的基本技能,提高分析问题和解决问题的能力。
二、实验任务及要求 1.设计一个二十四小时制的数字钟,时、分、秒分别由二十四进制、六十进制、 六十进制计数器来完成计时功能。 2.能够准确校时,可以分别对时、分进行单独校时,使其到达标准时间。 3.能够准确计时,以数字形式显示时、分,发光二极管显示秒。 4.根据经济原则选择元器件及参数; 5..小组进行电路焊接、调试、测试电路性能,撰写整理设计说明书。
三、实验设计内容 1、设计原理及思路 3.1数字钟的构成 数字钟一般由振荡器、分频器、计数器、译码器、显示器、较时电路、报时 电路等部分组成,这些都是数字电路中应用最广的基本电路 3.2原理分析 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。
图1 基本框图 从上图可知,数字钟由以上各部分电路组成。 振荡器产生的1Hz的脉冲作为数字钟的标准秒脉冲。秒计数器计满60后向分计数器个位进位,分计数器计满60后向小时计数器个位进位并且小时计数器按照二十四进制计数。计数器的输出经译码器送显示器。校时电路可分别对时、分进行单独校时,以达到标准时间。 由框图可知电路主要由振荡电路、计数电路、显示电路以及校时电路四大部分组成。下面将对各部分电路进行设计: 2、数字钟电路的设计 数字钟电路主要由振荡电路、计数电路、显示电路以及校时电路四大部分组成。下面将对各部分电路进行设计。 以下是本实验所设计的方案: 1、电路组成: (1)振荡电路 振荡电路振荡电路由555定时器和电阻,电容串并联构成。图示电路即可产生1HZ的标准秒脉冲,用于电路的计时的脉冲 电路原理图如图11所示: 图11
555定时器的脉冲电路 在采用此方案之前,是用555定时器产生1KHZ的脉冲信号,然后再用三个160计数器依次分频得到1HZ的计数脉冲,虽然用555加接电容和电阻会因没有十分合适的电阻阻值而不是十分的精确,但我们在实验室里接成电路后发现没有很大的区别。这样子不仅少了些元器件更加的经济,而且电路更简单,在后面画PCB图时会省去很大的的麻烦,后来在实验的过程中也确实证明了这一点。 (2)计数电路 计数电路分别有二十四进制和六十进制的计数器电路组成,对标准脉冲进行计数,用74ls160实现计数,时分电路图如图3、图4所示:篇二:数字电子时钟实验报告
华大计科学院
数字逻辑课程设计说明书
题目:多功能数字钟
专业: 计算机科学与技术班级: 网络工程1班 姓名: 刘群 学号:
完成日期:
2013-9 一、设计题目与要求
设计题目:多功能数字钟 设计要求: 1.准确计时,以数字形式显示时、分、秒的时间。 2.小时的计时可以为“12翻1”或“23翻0”的形式。 3.可以进行时、分、秒时间的校正。 二、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率??1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路。图 1 所示为数字钟的一般构成框图。 图1
数字电子时钟方案框图 ⑴多谐振荡器电路 多谐振荡器电路给数字钟提供一个频率1Hz 的信号,可保证数字钟的走时准确及稳定。 ⑵时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成。其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器。而根据设计要求,时个位和时十位计数器为24 进制计数器。 ⑶译码驱动电路 译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。 ⑷数码管 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管。本设计提供的为LED数码管。 2.数字钟的工作原理 ⑴多谐振荡器电路 555 定时器与电阻R1、R2,电容C1、C2 构成一个多谐振荡器,利用电容的充放电来调节输出V0,产生矩形脉冲波作为时钟信号,因为是数字钟,所以应选择的电阻电容值使频率为1HZ。 ⑵时间计数单元 六片74LS90 芯片构成计数电路,按时间进制从右到左构成从低位向高位的进位电路,并通过译码显示。在六位LED 七段显示起上显示对应的数值。 ⑶校时电源电路 当重新接通电源或走时出现误差时都需要对时间进行校正。通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。 根据要求,数字钟应具有分校正和时校正功能。因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。图8所示即为带有基本RS 触发器的校时电路。
三、元器件 1.实验中所需的器材 单刀双掷开关4 个. 5V 电源. 共阴七段数码管 6 个. 74LS90D 集成块 6 块. 74HC00D
6个 LM555CM
1个 电阻 6个 10uF 电容 2个 2.芯片内部结构及引脚图图2 LM555CM集成块 图3 74LS90D集成块 五、各功能块电路图 1秒脉冲发生器主要由555 定时器和一些电阻电容构成,原理是利篇三:VHDL数字时钟实验报告 VHDL数字时钟设计 一、实验目的: 进一步练习VHDL语言设计工程的建立与仿真的步骤和方法、熟悉VHDL语言基本设计实体的编写方法。同时,在已有知识的基础上,简单综合编写程序,仿制简单器械。 二、实验环境: PC个人计算机、Windows XP操作系统、Quartus II集成开发环境软件。 三、设计要求:
运用VHDL语言编写一个数字钟,具体要求: 2. 具有手动调节小时,分钟的功能。 3. 具有闹钟的功能,能够在设定的闹钟时间发出闹铃声。
1. 具有时、分、秒计数的十进制数字显示功能,以24小时循环计时。 四、实验步骤:
1. 定义输入输出信号量 port(clk:in std_
---时钟speak:out std_
---铃dout:out std_logic_vector(7 downto 0);
---晶体管显示setclk:in std_logic_vector(2 downto 0); ---操作按钮d1,d2,d3,d4,d5,d6: out std_logic);---六个晶体管 2. 定义结构体中的信号量 signal sel:std_logic_vector(2 downto 0);
signal hou1:std_logic_vector(3 downto 0);
--时分秒的个位和十位 signal hou2:std_logic_vector(3 downto 0); signal min1:std_logic_vector(3 downto 0); signal min2:std_logic_vector(3 downto 0);
signal seth1:std_logic_vector(3 downto 0); signal seth2:std_logic_vector(3 downto 0); signal setm1:std_logic_vector(3 downto 0); signal setm2:std_logic_vector(3 downto 0);
signal sec1:std_logic_vector(3 downto 0); signal sec2:std_logic_vector(3 downto 0);
signal h1:std_logic_vector(3 downto 0); signal h2:std_logic_vector(3 downto 0); signal m1:std_logic_vector(3 downto 0);signal m2:std_logic_vector(3 downto 0); signal s1:std_logic_vector(3 downto 0); signal s2:std_logic_vector(3 downto 0);
signal sph1,sph2,spm1,spm2,sps1,sps2:std_logic_vector(3 downto 0); signal count_sec:std_logic_vector(9 downto 0); signal sec_co :std_ signal co1,co2,co3,co4:std_ --进位 signal switch :std_logic_vector(1 downto 0); --表示状态
3. 分频模块 用来定义秒count_sec用来计时钟个数,当count_sec=时,及得到1Hz信号。代码如下: process (clk) is--define a second begin if(clk'event and clk='1')then if(count_sec=&&)then count_sec&=&&; sec_co&='1'; else count_sec&=count_sec+'1'; sec_co&='0';
4.时钟正常走时模块 该模块使用6个进程实现,分别为秒个位计时、秒十位计时、分个位计时、分十位计时、时个位计时、时十位计时。 process(sec_co) is
------------秒个位 begin if switch=&00& then --正常状态 if sec_co='1' then if sec2=&1001& then sec2&=&0000&; co1&='1'; else sec2&=sec2+'1'; co1&='0';
elsif switch=&01& then --调时状态 sec2&=&0000&;
-------------------------------------------------- process (co1) is
-------秒十位 beginif switch=&00& then if co1'event and co1='1' then if (sec1=&0101&)then sec1&=&0000&; co2&='1'; else sec1&=sec1+'1'; co2&='0';
elsif switch=&01& then sec1&=&0000&;
------------------------------------------------- process (co1,co2) is
--------分钟个位 begin if switch=&00& then if co2'event and co2='1' then if min2=&1001& then min2&=&0000&; co3&='1'; else min2&=min2+'1'; co3&='0';
elsif switch=&01& then min2&=setm2;
end proce(转自: 千 池锝 网:数字时钟实验报告) ------------------------------------------------------ process (co3) is
-----------分钟十位 begin if switch=&00& then if co3='1' then if min1=&0101& then min1&=&0000&; co4&='1'; else min1&=min1+'1'; co4&='0';
elsif switch=&01& then min1&=setm1;
--------------------------------------------------------- process(co4) -------小时 begin if switch=&00& then if co4='1' then if (hou1=&0010&) then --小时十位为2时,个位满3进一 if(hou2=&0011&)then hou2&=&0000&; hou1&=&0000&; else hou2&=hou2+'1';
else--小时十位为0或1,个位满9进一 if(hou2=&1001&)then hou2&=&0000&; hou1&=hou1+'1'; else hou2&=hou2+'1';
elsif switch=&01& then hou1&=seth1; hou2&=seth2;
5.调时模块 该进程用来控制时钟状态,switch为“00”时正常显示时间,为“01”时是进行调时,为“10”时是设置闹钟时间。代码如下: process (setclk(0)) is begin if(setclk(0)'event and setclk(0)='1')then if(switch=&10&)then switch&=&00&; else switch&=switch+'1';
process (setclk(2),switch,setclk(1)) is begin--setclk(1)为1调分 if(switch=&01& and setclk(1)='1')then if(setclk(2)'event and setclk(2)='1')thenif(setm2=&1001&)then setm2&=&0000&; if(setm1=&0101&)then setm1&=&0000&; else setm1&=setm1+'1';
else setm2&=setm2+'1';
process (setclk(2),switch,setclk(1)) is begin--setclk(1)为0调时 if(switch=&01& and setclk(1)='0')then if(setclk(2)'event and setclk(2)='1')then if(seth1=&0010&)then if(seth2=&0011&)then seth1&=&0000&; seth2&=&0000&; else seth2&=seth2+'1';
else if(seth2=&1001&)then seth1&=seth1+'1'; seth2&=&0000&; else seth2&=seth2+'1';
6.闹钟模块 该模块由3个小模块组成,分别为设置闹钟时间、判断闹钟时间是否到达以及闹铃声音模块。 (1)设置闹钟时间模块 该进程用于设置闹钟时间。 信号量switch为‘10‘时,表示设置闹钟时间; if(switch=&10&)then --调闹钟时,显示闹钟设置时间 if sel=&000& then d1&='0'; case sph1 is篇四:数字钟的设计实验报告 数字钟的设计实验报告 一、实验目的 1) 学习掌握数字钟的设计方法 2) 学习较复杂的数字系统设计方法 3) 了解数字钟的组成及工作原理 二、设计指标 1) 2) 3) 4) 时间以 24 小时为一个周期 显示时、分、秒 有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间 计时过程具有报时功能,当时间到达整点前 5 秒进行蜂鸣报时 三、实验原理 时标信号的频率由振荡器产生,由于及时最小单位是0.1s,所以时 标信号经分频器后输出频率为10Hz的秒脉冲clk。 在无校准信号作用时,整个电路处于正常的计数状态。时,分,秒计 数器采用同步计数方式,其时钟脉冲端均接由分频器输出地时钟信号clk。en 为计数使能端,高电平有效。秒计数的端en始终为高电平,所以每来一个秒 脉冲clk,秒计数器计一个数,当秒计数器到六十时,其进位输出端co输出 高电平产生进位,使分计数器的使能端en有效,每来一个分脉冲clk,分计 数器计一个数,这就意味着满60s进1当秒计数器和分计数器到60,其 相应的秒计数器的进位co和分计数器的进位co同时输出高电平使小时计数 器的使能端en有效时,每来一个计数脉冲,小时计数器计一个数。 四、实验内容 数字钟是数字电路中的一个典型应用,本设计实现数字钟的一些基本功 能。能进行正常的时、分、秒计时功能,当计时达到59分52秒时开始报时, 在59分52秒,59分54秒,59分56秒,59分58秒时鸣叫,鸣叫声频为 500Hz,在到达59分60秒时为最后一声整点报时,频率为1KHz。其外部接 口如图1所示,总体设计框图如图2所示,包含control、sec、main、hour、 sst五大模块。其中sec和main模块均为六十进制计数器,计时输出分别为 数字钟外部接口数字钟总体设计框图
(1)端口 s[5..0]信号对应6个控制键,分别对应秒个位,秒十位,分个位,分十位,小时 个位,小时十位。 rst信号为复位信号,在系统初始化时使用,clk为系统时钟,clr信号为清零信号。 sound信号连续扬声器,产生鸣叫。 sec1[3..0]表示秒十位 sec0[3..0]表示秒个位 min1[3..0]表示分十位 min0[3..0]表示分个位 hour1[3..0]表示小时十位 hour0[3..0]表示小时个位。 (2)control控制模块:实现修改时间功能,其子模块con1功能为采集修改数值。各个模块的原理及代码 (1)control控制模块 原理框图:
(2)con1模块:实现对按键数的统计,按键一次,计数器加1,如果大于9时,自动回零。 原理图如图所示:
con1模块的VHDL源代码如下: LEBRARYIEEE;(3)sst模块:为整点报时提供控制信号,当58min,秒为52,54,56,58时,q500输出“1”;秒为00时,qlk输出为“1”。这两个信号经过逻辑门实现报时功能。 原理图如图所示:
IEEE; USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;
篇五:数字电路数字时钟课程 数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。
发挥:增加闹钟功能。 二、设计: 由秒时钟信号发生器、计时电路和校时电路构成电路。秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图:
图一 数字时钟电路框图
四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 ? 振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz 脉冲。 ? 分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能
扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz标准秒脉冲。其电路图如下: 图二 秒脉冲信号发生器
(二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 ? 60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位 。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位 ,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下:图三 60进制--秒计数电路
? 60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位 。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位 ,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给时的个位。其电路图如下:
图四 60进制--分计数电路
? 24进制——时计数电路 来自分计数电路的进位脉冲使时的个位加,个位计数器由0增加到9是产生进位,连在十位计数器脉冲输入端CP,当十位计到2且个位计到3是经过74LS11与门产生一个清零信号,将所有CD40110清零。其电路图如下: 图五 24进制--时计数电路
? 译码显示电路 译码电路的功能是将秒、分、时计数器的输出代码进行翻译,变成相应的数字。用以驱动LED七段数码管的译码器常用的有74LS148。74LS148是BCD-7段译码器/驱动器,输出高电平有效,专用于驱动LED七段共阴极显示数码管。若将秒、分、时计数器的每位输出分别送到相应七段数码管的输入端,便可以进行不同数字的显示。在译码管输出与数码管之间串联电阻R作为限流电阻。其电路图如下: 图六 译码显示电路
校时电路 校时电路是数字钟不可缺少的部分,每当数字钟与实际时间不符时,需要根据标准时间进行校时。一般电子表都具有时、分、秒等校时功能。为了使电路简单,在此设计中只进行分和小时的校时。“快校时”是通过开关控制,使计数器对1Hz校时脉冲计数。图中S1为校正用的控制开关,校时脉冲采用分频器输出的1Hz脉冲,当S1为“0”时可以进行“快校时”。 其电路图如下: +5V
图七 校队电路
相关热词搜索:
[数字时钟实验报告]相关的文章
看过本文的人还看了
【实验报告】图文推荐
Copyright & 2006 -
All Rights Reserved
池锝网 版权所有

我要回帖

更多关于 ise仿真产生clk信号 的文章

 

随机推荐