verilog testbenchh应该没有问题 但是还是出不来波形 是怎么意思

matlab为modelsim产生testbench激励数据,编译通过,但是没出波形,求指导,是不是程序有问题_百度知道
matlab为modelsim产生testbench激励数据,编译通过,但是没出波形,求指导,是不是程序有问题
readline( variable fstatus1,& file_close(filein);begin file_open(fstatus1 :;4;
tst存的是16进制数据;
d &lt,buf);MATLAB\=data:LINE;
--ast_sink_data &lt, DATA_WIDTH) after tclk&#47:=conv_std_logic_vector(R2012a&#92file_process,read_mode), end loop,data);D;bin\
read(buf:FILE_OPEN_STATUS; while not endfile(filein)loop
wait until rising_edge(clk);Program Files&#92.txt&quot:&#92:processend process file_process
我有更好的答案
&D;.txt&bin\MATLAB&#92:\data.txt'你数据导进来没有file_open(fstatus1 ,';Program Files\bin&#92:\R2012a\R2012a\MATLAB\D;Program Files&#92,read_mode),filein ,filein file_open(fstatus1 ,read_mode)
不能用单引号,我觉得应该没进来
其他类似问题
为您推荐:
testbench的相关知识
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁modelsim 仿真中出不来波形,麻烦看下这个testbench哪里有问题
[问题点数:40分,结帖人u]
modelsim 仿真中出不来波形,麻烦看下这个testbench哪里有问题
[问题点数:40分,结帖人u]
不显示删除回复
显示所有回复
显示星级回复
显示得分回复
只显示楼主
匿名用户不能发表回复!|
每天回帖即可获得10分可用分!小技巧:
你还可以输入10000个字符
(Ctrl+Enter)
请遵守CSDN,不得违反国家法律法规。
转载文章请注明出自“CSDN(www.csdn.net)”。如是商业用途请联系原作者。二次元同好交流新大陆
扫码下载App
温馨提示!由于新浪微博认证机制调整,您的新浪微博帐号绑定已过期,请重新绑定!&&|&&
LOFTER精选
网易考拉推荐
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
阅读(8758)|
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
历史上的今天
loftPermalink:'',
id:'fks_',
blogTitle:'玩转FPGA之:Testbench学习',
blogAbstract:'
TestBench基本概念:测试激励-&待测设计-&观察比对波形/在终端打印或生产文本/自动对比输出结果
TestBench编写三步曲1:对被测试设计的顶层接口进行例化2:给被测试设计的输入接口添加激励3:判断被测试设计的输出想要是否满足设计要求
TestBench内容主要分为1:时钟的产生2:复位的产生3:其他激励信号的产生(用户自定义 )
第一种时钟产生方式//时钟产生//定义时钟周期为20ns,已经定义\'timescale 1ns/1ps 单位/精度parameter PERIOD = 20;initial ',
blogTag:'testbench',
blogUrl:'blog/static/',
isPublished:1,
istop:false,
modifyTime:2,
publishTime:9,
permalink:'blog/static/',
commentCount:0,
mainCommentCount:0,
recommendCount:2,
bsrk:-100,
publisherId:0,
recomBlogHome:false,
currentRecomBlog:false,
attachmentsFileIds:[],
groupInfo:{},
friendstatus:'none',
followstatus:'unFollow',
pubSucc:'',
visitorProvince:'',
visitorCity:'',
visitorNewUser:false,
postAddInfo:{},
mset:'000',
remindgoodnightblog:false,
isBlackVisitor:false,
isShowYodaoAd:false,
hostIntro:'',
hmcon:'0',
selfRecomBlogCount:'0',
lofter_single:''
{list a as x}
{if x.moveFrom=='wap'}
{elseif x.moveFrom=='iphone'}
{elseif x.moveFrom=='android'}
{elseif x.moveFrom=='mobile'}
${a.selfIntro|escape}{if great260}${suplement}{/if}
{list a as x}
推荐过这篇日志的人:
{list a as x}
{if !!b&&b.length>0}
他们还推荐了:
{list b as y}
转载记录:
{list d as x}
{list a as x}
{list a as x}
{list a as x}
{list a as x}
{if x_index>4}{break}{/if}
${fn2(x.publishTime,'yyyy-MM-dd HH:mm:ss')}
{list a as x}
{if !!(blogDetail.preBlogPermalink)}
{if !!(blogDetail.nextBlogPermalink)}
{list a as x}
{if defined('newslist')&&newslist.length>0}
{list newslist as x}
{if x_index>7}{break}{/if}
{list a as x}
{var first_option =}
{list x.voteDetailList as voteToOption}
{if voteToOption==1}
{if first_option==false},{/if}&&“${b[voteToOption_index]}”&&
{if (x.role!="-1") },“我是${c[x.role]}”&&{/if}
&&&&&&&&${fn1(x.voteTime)}
{if x.userName==''}{/if}
网易公司版权所有&&
{list x.l as y}
{if defined('wl')}
{list wl as x}{/list}波特率发生模块仿真不通过,波形仿真不出来 - FPGA|CPLD|ASIC论坛 -
中国电子技术论坛 -
最好最受欢迎电子论坛!
后使用快捷导航没有帐号?
波特率发生模块仿真不通过,波形仿真不出来
09:04:36  
/***************** 程序说明 **************************************************
功能:波特率发生模块
描述:
&blockquote&在收到来自接收模块或者发送模块的启动信号
baud_start后,启动波特率计数器 产生9600的比特率端口:clk:50Mhz时钟输入,
Pin23; rst_n:& && &&&复位,低电平有效,
Pin144;mid_flag:& & 接收/发送数据标志位,接收或者发送数据位的中间采样点baud_start:&&波特率启动标志位;
波特率计算:eg:9600bps=9600bit/s9600bit/s=9600bit/us,那么传输每bit要0us,而一个时钟周期位0.02us,& && && && && &
由此可计算出 0us有多少个0.02us,即多少个时钟,计算得:传输每bit要5208个时钟周期,计数从0到5207;
*****************************************************************************/
module baud_select(clk,rst_n,baud_start,mid_flag);
input rst_n;
input baud_
output mid_
parameter bps,&&//波特率为9600bps
//bps1,& && &&&//波特率为19200bps
//bps3,& && &&&//波特率为38400bps
//bps5,& && &&&//&font face=&宋体&&波特率为57600bps
//bps3;& && &&&//波特率为115200bps
//parameter bps03,
//bps01,
//bps0,
//bps3,
//bps=216;&&
`define&&BPS_PARA 5207
`define PS_PARA_2 2603
//***************************************************************************波特率分频计数器,当启动信号标志位为1时计数开始**********//
reg[12:0]
always @(posedge clk or negedge rst_n)
& && & if(!rst_n)cont&= 13'd0;
& && & else if((cont==`BPS_PARA)||!baud_start)cont&= 13'b0_00;
& && & else cont&=cont+1'b1;
& && & align=&left&&
& && & //*********************************************************************
& && &发送一个位需要5028个时钟周期,在一个数据位中间时刻,即计数到2603时,接收或发送
& && &标志位置,并传输给接收模块或者发送模块,以发送或接收数据;*********//
& && &mid_flag_1;
& && &always @(posedge clk or negedge rst_n)& && &
& && &if(!rst_n)mid_flag_1&=1'b0;
& && &else if((cont==`BPS_PARA_2))mid_flag_1&=1'b1;
& && &else mid_flag_1&=1'b0;
& && &assign mid_flag=mid_flag_1;
/***************************************************************************/*
endmodule
09:19:43  
求指导&&求大神帮忙找一下问题的所在
11:13:30  
等啊等大神帮忙解答
11:20:24  
自己顶 波形就是仿真不成功啊
08:53:00  
怎样才能成功啊
10:06:46  
回帖奖励 +1
程序没有问题啊,
(54.53 KB, 下载次数: 0)
10:05 上传
原创技术先锋,加分鼓励!
16:37:55  
程序没有问题啊,
您好 用quartus II您会仿真吗 我一直仿真不出来&&不知道哪里出了问题
17:08:16  
程序没有问题啊,
&&大神&&这个事uart的全部程序 你可以帮我看看吗 我这个波形仿真不出来
17:07 上传
点击文件名下载附件
下载积分: 积分 -1 分
1.18 MB, 下载次数: 8, 下载积分: 积分 -1 分
20:49:17  
您好 用quartus II您会仿真吗 我一直仿真不出来&&不知道哪里出了问题
我不知道你指的仿真不成功是什么意思,具体哪出问题了啊?
08:36:23  
我不知道你指的仿真不成功是什么意思,具体哪出问题了啊?
就是我的波形仿真之后,除了时钟信号 ,其他的信号全是0,不知道怎么能仿真正确
09:45:48  
就是我的波形仿真之后,除了时钟信号 ,其他的信号全是0,不知道怎么能仿真正确 ...
我用的Quartus II 12.0,这个版本已经不自带vwf仿真工具了,都是用第三方工具做仿真,你还是用modelsim自己写testbench仿吧,
17:17:59  
软件版本可以考虑换哈u
Powered by

我要回帖

更多关于 verilog testbench 的文章

 

随机推荐