计算机组成原理 字符上有一简谱里横线是什么意思思

怎样给一个字符串加下横线,该如何处理 - C语言当前位置:& &&&怎样给一个字符串加下横线,该如何处理怎样给一个字符串加下横线,该如何处理www.MyException.Cn&&网友分享于:&&浏览:55次怎样给一个字符串加下横线求助!! &
用C语言编写一个程序,给某个指定的字符串加上下横线------解决方案--------------------在tc的图形模式下用点阵显示可以实现,文本模式没办法实现!
------解决方案--------------------感觉应该不是控制台程序能写出来的 如果不用图形函数的话
------解决方案--------------------文本模式无法实现!
------解决方案--------------------notepad也没有格式功能,带格式的都是2进制文件
------解决方案--------------------估计是靠输出格式 位置的问题 在指定字符上下的位置输出-- 就行了把printf 组织好c语言初学的问题
12345678910
12345678910
12345678910 上一篇:下一篇:文章评论相关解决方案 12345678910 Copyright & &&版权所有(6)[-X/2]补= 1.1001
(7)[Y]补= 1.1010
3.24 已知X和Y,用变形补码计算X+Y和X-Y,并指出运算结果是否溢出: (1) X=0.11011,Y=0.11111 (2) X=-0.1101,Y=0.0110 答:.
3.25 试使用两个4位二进制加法器和若干逻辑门电路,设计一位余3码编码的十进制加法器。(提示:余3码加法的校正规则为:当余3码编码的两个数直接相加后,若结果有进位,则和数加3校正;否则和数减3校正) 图在word下不好画:略: 3.26 使用原码一位乘法计算X*Y:
(1) X=0.11101,Y=0.01111 (2) X=-0.10011,Y=0.11010 答: (1)[X*Y]原 =0.
(2)[X*Y]原 = 1.
使用补码Booth乘法计算X*Y:
(1) X=0.01111,Y=-0.11101 (2) X=-0.10011,Y=-0.11010 答:(1)[X*Y]补 =1.
(2)[X*Y]补
3.28 分别使用原码恢复余数除法和原码加减交替除法计算X/Y:
(1) X=0.0111,Y=0.1101 (2) X=0.1011,Y=-0.1110 答:(1)原码恢复余数除法: [Qs]原= 0.1000 ;
原码加减交替除法: [Qs]原= 0.1000;
[R]原=0. 11 (8) [-Y]补= 0.0110 (9) [2Y]补=1.0100 (10)[-2Y]补=0.1100 (11)[Y/2]补=1.1101
(12)[-Y/2]补= 0.0010
[-Y/4]补= 0.0001 (1) [X+Y]补: 溢出
[X-Y]补= 1.11100 (2) [X+Y]补=1.1001
[X-Y]补: 溢出
(2)原码恢复余数除法:
[Qs]原= 1.1100 ;
原码加减交替除法: [Qs]原= 1.1100 ;
[R]原=0..29 使用补码不恢复余数除法计算X/Y:
(1) X=0.0111,Y=0.1101 (2) X=0.1011,Y=-0.1110 答:(1)[Qs]补= 0.1001;
(2)[Qs]补= 1.0011 ;
[R]补=0. 3.30 设浮点数的格式为:阶码5位,尾数6位,均用补码表示,请计算X+Y和X-Y。(阶码和尾数均用补码计算)。 (1) X= -1.625,Y=5.25 (2) X=15/64,Y= -29/256 答:(1)[X+Y]补 =0,01;
X+Y=0.11101×2 (2) [X+Y]补 =1,11;
X+Y=0.11111×2
[X-Y]补 =1,10;
X-Y=0.101102
3.31 设浮点数的格式为:阶码5位,用移码表示,尾数6位,用补码表示,请计算X*Y和X/Y(阶码用移码计算,尾数用任何一种机器数的串行乘除算法计算)。 (1) X=5.25,Y= -1.625 (2) X= -29/256,Y=15/64 答:(1) [X×Y]浮=1,11;
X*Y=-0.10001×2[X/Y]浮= 1,11;
X/Y=-0.11001×2[X/Y]浮= 0,01;
X/Y=-0.11111×2(2) [X×Y]浮=0,01;
X*Y=-0.11011×2
[X-Y]补 =0,01;
X-Y=-0.11011×2- 00010011- 0011
0010 -0101-0001 3.32
假设浮点数加减运算时,尾数采用变形补码(模4补码)进行运算,运算结果形式为:MS1 MS2.M1 …… Mn,选择正确的答案写在横线上: (1) 若尾数运算结果形式满足
条件时,结果需要左规; (2) 若尾数运算结果形式满足
条件时,结果需要右规(1次); (3) 若尾数运算结果形式满足
条件时,结果不需要规格化;
A.MS1MS2.M1=00.0
D.MS1MS2.M1=01.1 G.MS1MS2.M1=11.0
B.MS1MS2.M1=00.1 E.MS1MS2.M1=10.0 H.MS1MS2.M1=11.1
C.MS1MS2.M1=01.0 F.MS1MS2.M1=10.1 答:(1)A, H (2)D,E,F (3)B 3.33 浮点数运算的溢出判断,取决于
。 12 A.尾数是否上溢
C.阶码是否上溢
B.尾数是否下溢 D.阶码是否下溢 3.34 设[X]补=X0.X1……Xn,X必须满足
条件时,X左移一位求2X时,才不会发生溢出。 A.X0.X1=0.0
答:A, B B.X0.X1=1.1
C.X0.X1=0.1
D.X0.X1=1.0
3.35 设机器字长8位,若机器数DAH为补码,则算术左移一位后为
,算术右移一位后为
答:A, E B.B5H
E.EDH 3.36 在计算机内,减法一般用
来实现。 A.二进制减法器
B.十进制减法器
D.十进制加法器 答:C
C.二进制加法器 3.37 设某运算器由一个加法器Σ、两个暂存器A和B(D型边沿寄存器)、一个状态寄存器、一个二选一多路选择器构成,如图4.29所示。加法器具有F=A、F=B和F=A+B这3种功能;A、B均可接收加法器的输出,A还可以接收外部输入数据D。问: (1) 描述外部数据D传送到暂存器B的过程,写出发送的信号序列。 (2) 如何实现操作A+B→A和A+B→B?写出发送的信号序列。 (3) 可以实现操作D+A→A和D+B→B吗?如果可以,请写出发送的信号序列。 (4) 若A、B均为锁存器(电平触发的寄存器),那么实现操作A+B→A和A+B→B时有问题吗?为什么? FLAGSCPFΣ
加法器A→ΣCPAF=AF=BF=A+BB→ΣABCPBSD二选一 13 4.29 习题4.15图示 答:(1)传送过程:D
控制信号:S=0(选D),CPA, A
∑,F=A,S=1(选∑) (2)A
∑,F=A+B,S=1(选∑),CPA
∑,F=A+B,CPB (3)S=0(选D),CPA,
∑,F=A+B,S=1(选∑),CPA
S=0(选D),CPA,
∑,F=A+B,CPB (4)结果不对。加法器会多次运算。 3.38 如果将例4.12中的两条指令修改如下,试写出运算结果及其标志位,并分析各标志的意义。 MOV ADD AL,7FH AL,80H
答:(AL)=FFH; ZF=0:因为运算结果非零; CF=0:因为加法运算的最高位没产生进位, OF=0:因为C1Cf=0,表明有符号数运算发生没溢出, SF=1:因为运算结果的最高位为1, PF=1:结果中“1”的个数为偶数个,所以PF=1。
3.39 如果将例4.12中的两条指令修改如下,试写出运算结果及其标志位,并分析各标志的意义。 MOV SUB
AL,7FH AL,1 答:(AL)=7EH; ZF=0:因为运算结果非零; CF=0:因为加法运算的最高位没产生借位, OF=0:因为C1Cf=0,表明有符号数运算发生没溢出, SF=0:因为运算结果的最高位为0, PF=0:结果中“1”的个数为奇数个,所以PF=0。 第五章 5.1 说明主存储器的组成,并比较SRAM和DRAM有什么不同之处?为什么DRAM的地址一般要分两次接收? 略。 5.2 有一个64K×16位的存储器,由16K×1位的DRAM芯片(芯片内是128×128结构)构成,存储器读/写周期为500ns,问: 14 (1)需要多少片DRAM芯片? (2)采用异步刷新方式,如单元刷新间隔不超过2ms,则刷新信号周期是多少? (3)如果用集中刷新方式,存储器刷新一遍最少用多少时间? 答:(1)64; (2)15.625微秒 (3)64微秒 5.3 某机字长16 位,CPU地址总线18位,数据总线16位,存储器按字编址,CPU 的控制信号线有:MREQ#(存储器访问请求,低电平有效),R/W#(读写控制,低电平为写信号,高电平为读信号)。试问: (1)该机可以配备的最大主存容量为
。 (2)该机主存采用64K×1bit的DRAM芯片(内部为4个128×128阵列)构成最大主存空间,则共需
个芯片;若采用异步刷新方式,单元刷新间隔为2ms,则刷新信号的周期为
。 (3)若为该机配备2K×16位的Cache,每块8字节,采用2路组相联映象,试写出对主存地址各个字段的划分(标出各个字段的位数);若主存地址为462EH,则该地址可映象到Cache的哪一组? (4)已知该机已有8K×16位的ROM存储器,地址处于主存的最高端;现在再用若干个16K×8位的SRAM芯片形成128K×16位的RAM存储区域,起始地址为00000H,假设SRAM芯片有CS#(片选,低电平有效)和WE#(写使能,低电平有效)信号控制端;试写出RAM、ROM的地址范围,并画出SRAM、ROM与CPU的连接图,请标明SRAM芯片个数、译码器的输入输出线、地址线、数据线、控制线及其连接。 答:(1)256KW (2)64,
15.625微秒
高位字块标记(8位) 组地址(8位)
块内地址(2位)
(4)略 5.4 设有一个4体交叉存储器,在使用时经常遇到连续访问同一个存储体的情况,会产生怎样的结果? 答:存储器带宽降低。 5.5 某计算机的存储系统由Cache、主存和用于虚拟存储的磁盘组成。CPU总是从Cache中获取数据。若所访问的字在Cache中,则存取它只需要20ns,将所访问的字从主存装入Cache需要60ns,而将它从磁盘装入主存则需要1200?s。假定Cache的命中率为90%,主存的命中率为60%,计算该系统访问一个字的平均存取时间。 答:48.0098?s 5.6 CPU执行一段时间时,cache完成存取的次数为3900次,主存完成15[X]补=00.0111[Y]补=00.1101;+++[X]补与[Y]补同号+[-Y]补[R0];所以[Q]补=0.1001[R]补=0.0000;(2)[Qs]补=1.0011;[R]补=0.0;4.8设浮点数的格式为:阶码5位,尾数6位,均用;513X?(?1.625)10?(?1)10?(;注意:参见P139的原理和P141中例4.13;?E?EX?
[X]补=00.0111 [Y]补=00.1101 [- |Y|]补=11.0011被除数/余数+00.111.000.100.111.000.110.1110商Q0 0 0 0 0 0 0 0 0 00 0 0 0 0 0 0 0 0 10 0 0 1 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 0 0 1 操作说明 +++[X]补与[Y]补同号+[-Y]补[R0]补与[Y]补异号,上商0左移一位+[Y]补[R1]补与[Y]补同号,上商1左移一位+[-Y]补[R2]补与[Y]补异号,上商0左移一位+[Y]补[R3]补与[Y]补异号,上商0左移一位,末位置1 所以 [Q]补=0.1001
(2)[Qs]补= 1.0011 ;
[R]补=0. 4.8 设浮点数的格式为:阶码5位,尾数6位,均用补码表示,请计算X+Y和X-Y。(阶码和尾数 均用补码计算)。 (1) X= -1.625,Y=5.25 (2) X=15/64,Y= -29/256 答:(1) 513X?(?1.625)10?(?1)10?(?)10?(?0.121Y?(5.25)10?(5)10?()10?(0.4假设Z=X+Y,X+Y的计算过程如下: 注意:参见P139的原理和P141中例4.13。
?E?EX?EY?[EX]布+[?EY]布=00,,=111110,?E?0,所以EX?EY,需要把MX右2位,即EX+2[X]布?00,01(10)2、 尾数相加 16
[MX]布+[MY]布11.01 ----------[MX+MY]布00.01110[MZ]布?00.、 结果规格化 左归一位,阶码减1;结果无溢出 此时的阶码和尾数为: [EZ]布?00,,=00,0010[MZ]布?00.、 舍入 按照0舍1入法对尾数进行舍入,结果为:
[Z]布?0, 假设Z=X-Y,其计算过程如下: 1、对阶 ?E?EX?EY?[EX]布+[?EY]布=00,,=111110,?E?0,所以EX?EY,需要把MX右2位,即EX+2[X]布?00,01(10)2、尾数相减
[MX]布+[?MY]布11.11 ----------[MX?MY]布11.00100[MZ]布?11.、结果规格化 结果的尾数已经是规格化形式,并且无溢出,因此无需规格化 4、舍入 按照0舍1入发对尾数进行舍入,结果为: [Z]布?0,
(2) [X+Y]补 =1,11;
X+Y=0.11111×2
[X-Y]补 =1,10;
X-Y=0.101102
设浮点数的格式为:阶码5位,用移码表示,尾数6位,用补码表示,请计算X*Y和X/Y(阶码用移码计算,尾数用任何一种机器数的串行乘除算法计算)。 (1) X=5.25,Y= -1.625 (2) X= -29/256,Y=15/64 答:(1) [X×Y]浮=1,11;
X*Y=-0.10001×2(2)
X和Y的补码表示为: [X]补=0,11
[Y]补=0,10
[X/Y]浮= 1,11;
X/Y=-0.11001×2 001029)10?(?0.)2256 15Y?()10?(0.)264X?(?假设Z=X*Y,则Z的计算过程为: 注意:参见P143的原理和P143中例4.14。
(1)阶码相加
[Ez]移?[EX+EY]移?[EX]移+[EY]布=001101,+111110,=001011,阶码无溢出 (2) 尾数相乘 使用Booth算法计算尾数的乘积: [MX]补=11.00011
[MY]补=0.11110
[-MX]补=00.11101 18
部分积00...... [MX]补=1. (3) 结果的规格化 乘数Y(YnYn+1)0.1 1 1 1 0 0操作说明Y5Y6=00,+00 0.1 1 1 1 0右移一位Y4Y5=10,+[-Mx]补1 0 0.1 1 1 1右移一位Y3Y4=11,+00 1 0 0.1 1 1右移一位Y2Y3=11,+01 0 1 0 0.1 1右移一位Y1Y2=11,+01 1 0 1 0 0.11 1 0 1 0右移一位Y0Y1=01,+[Mx]补结果的尾数已经是规格化的,因此无需再规格化。 (4) 舍入 对尾数进行0舍1入,得: [Z]补=0,01
假设Z=X/Y,则Z的计算过程为: 注意:参见P145的原理和P146中例4.15。
(1)阶码相减
[Ez]移?[EX?EY]移?[EX]移+[?EY]布=001101,+00,,阶码无溢出 (2)尾数相除 采用原码加减交替法计算尾数的商: 19 [MX]补=00.11101
[MY]补=00.11110
[-|MY|]补=11.00010
被除数/余数+00.......00商Q0 0 0 0 0 0 操作说明+[-|MY|]补0 0 0 0 0 0 0 0 0 0 0.0 0 0 0 0 0.1 0 0 0 0.1 00 0 0 0.1 1 0 0 0.1 1 00 0 0.1 1 1 0 0.1 1 1 00 0.1 1 1 1 0.1 1 1 1 00.1 1 1 1 0 R00,上商1左移一位+[-|MY|]补R2>0,上商1左移一位+[-|MY|]补R3>0,上商1左移一位+[-|MY|]补R4>0,上商1左移一位+[-|MY|]补R5<0,上商0+|MY|恢复余数 ++++++[MZ]补=0.11110(1) (3) 结果的规格化 结果的尾数已经是规格化的,因此无需再进行规格化。 (4)舍入 对尾数进行0舍1入,得: |MZ|=0.11111
[MZ]原=1.11111
[MZ]补=1.00001
|Z|补=0,01
4.10 假设浮点数加减运算时,尾数采用变形补码(模4补码)进行运算,运算结果形式为:MS1 MS2.M1 ?? Mn,选择正确的答案写在横线上: 20 三亿文库3y.uu456.com包含各类专业文献、专业论文、生活休闲娱乐、高等教育、行业资料、应用写作文书、各类资格考试、外语学习资料、59计算机组成原理都给对方版答案(完整版)等内容。 
 计算机组成原理课后答案(唐朔飞第二版)_工学_高等...他所使用的存储器其 容量和位价接近于辅存,而速度...以 A000H 为起始地址的存储芯片都有与 其相同的...  计算机组成原理_第四版课后习题答案(完整版)_IT/计算机_专业资料。第一章 1....又要防止一个用户访问不是分配给他的主存区, 以达到数据安全和保 密的要求。...  计算机组成原理都给对方版答案(完整版)_理学_高等教育_教育专区。1.1 1.2 1.3 1.4 1.5 概述数字计算机的发展经过了哪几个代?各代的基本特征是什么? 略。 ...  计算机组成原理_第四版课后习题答案(完整版)_计算机硬件及网络_IT/计算机_专业...又要防止一个用户访问不是分配给他 的主存区,以达到数据安全和保 密的要求。...  计算机组成原理(肖铁军2010版)课后答案(完整版)_电脑基础知识_IT/计算机_专业资料。计算机组成原理(肖铁军 2010 版)课后答案第一章;1 .比较数字计算机和模拟计算机...  计算机组成原理-第二版-唐朔飞著-课后习题详解_...10. 指令和数据都存亍存储器中,计算机如何区分它们...吐对方传送信息,采用同步方式传 送,丌再等对方的...  计算机组成原理第二版课后习题答案_理学_高等教育_...他所使用的存储器其容量和位价接近于辅存,而速度...以 A000H 为起 始地址的存储芯片都有与其相同的...  计算机组成原理课后答案(唐朔飞第二版)_计算机硬件及...以 A000H 为起始地址的存储芯片都有与 其相同的...他起着开关中断的作用(即中断总开 关,则中断屏蔽...当前位置: >>
计算机组成原理实验手册
TEC-2000 使用手册 教学机使用方法简介摘要: 摘要:一、设置左下方 5 个开关状态,确定实验箱的工作模式 二、选按 RESET 键,再按 START 键教学机开始工作
教学机与 PC 机的互联 摘要: 摘要:一、教学机和 PC 机通过 RS-232 串行口通信 二、教学机使用其固化在 ROM 上的监控程序监控与 PC 机的通信 三、PC 机通过运行仿真终端程序 PCEC16 与教学机进行通信。即 PC 使用 PCEC 程序通过 串行口与教学机的监控程序通信,使用 PCEC 主要可完成以下功能 1、通过 PC 机向教学机加载程序 2、向监制程序发送调试命令,使之控制程序在教学机中的运行,可令程序单步运行、 连续运行等,控制方法参见监控程序一节。OUT 80H ;检测前一个数据是否发送完毕 2300H: IN 81H SHR R0 JRNC 2300H OUT 80H2000H IN 81H 2001H SHR R0 2002H SHR R0 2003H JRNC 2000H ;分析是否有新数据,若有 ;若无,则跳到 2000H IN 80HPC 机向教学机发送数据的过程 1. pc 机运行的监控程序向教学机的 8251 发送数据 2. 8251 接收数据,存储在其数据接收寄存器中 3. 教学机 CPU 运行程序将 8251 数据接收寄存器的数据接收到 R0 仿真终端程序 PCEC 监控程序
汇编编程 在仿真终端下, 在仿真终端下,使用监控程序提供的 A 命令逐行编程例 1、 、 例 2、 、 例 3、 、小结一、每个程序最终必需以 RET 指令结束,使得程序结束后返回去运行监控程序 二、80H、81H 两端口分别是串行通信控制器 8251 的数据端口与状态端口,对它们进行读 写能达到以下功能 1、读 80H 端口(IN 80H) ,可使教学机监控程序向 PCEC 读取用户在 PC 键盘上按下按 键对应的 ASCII 码,存储到 R0 寄存器 2、写 80H 端口(OUT 80H),可使教学机监控程序将 R0 的值发送给 PCEC,PCEC 将接 收到的值当做 ASCII 码,将相应字符显示在 PC 机显示器上 3、读状态端口(IN 81H) ,可得到串行通信控制器 8251 的状态字,其 D0 位用于指示 数据是否发送就绪(D0=1 发送就绪) ,D1 位用于指示数据是否接收就绪(D1=1 接收就绪) , 这里的 8251 是教学机 COM1 对应的那片 8251,发送和接收指教学机的发送接收。 使用汇编源程序编程 摘要一、在 PC 机上用文本编辑器编写教学机汇编源程序 二、在 PC 机上用交叉汇编程序 ASEC 编译教学机源程序,生成教学机可执行代码 二、通过 PCEC 将教学机可执行代码加载到教学机内存中交叉汇编程序
教学机编程源文件格式示例例 1、 、org 2000h mvrd r0,44h out 80h jr 2000h ret end例 2、 、ORG 2000H MVRD R2,0AH MVRD R0,30H OUT 80H DEC R2 JRZ 200EH PUSH R0 IN 81H SHR R0 JRNC 2008H POP R0 INC R0 JR 2004H RET END 教学机汇编编程小结 1、可使用标号做跳转,标号字符数不可太长(8 位?) org 2000h test: mvrd r0,44h out 80h jr test ret end 2、可使用标号指示子程序入口,标号字符数不可太长(8 位?) org 2000h mvrd r0,44h out 80h cala xx …retxx: push r0 … ret endmvrd R14,1234h org 2000h mvrd r0,44h out 80h;将 R0 保存的 ASCII 字符显示在 PC 机的屏幕上 jr 2000h ret end0000~FFFFH 0000~1FFFH:ROM(8K 字*16 位),保存监控程序 2000~27FFH:RAM(2K 字*16 位) 2000~25FFH:1.5K 字 2600~27FFH:0.5k 字,监控程序专用 实验一、 实验一、TEC-2000 汇编语言程序设计实验目的1.学习和了解 TEC-2000 十六位机监控命令的用法; (参考实验指导书 P116) 2.学习和了解 TEC-2000 十六位机的指令系统; 3.学习 TEC-2000 十六位机汇编程序设计。实验设备TEC-2000 教学机和 PC 机各一台实验内容1. 熟悉教学机的组成,完成教学机与 PC 机互联 2. 熟悉监控命令的使用 3. 汇编程序设计 a) 设计一个小程序,从键盘上接收一个字符并在屏幕上输出显示该字符。 b) 打印 fibonnacii 数列的前 20 个值:1,1,2,3,5,…..,用二进制形式打印, 值与值之间 用逗号隔开 23231预习要求1.熟悉教学机的基本指令集 2. 实验前仔细阅读实验指导书 P112~120,或者是阅读本文档的 TEC2000 使用手册(权威) 3.提交实验预习报告,其中给出“实验报告格式”一~四的内容。实验报告格式一、实验目的 二、实验设备 三、实验内容 四、汇编程序设计 a) 程序一源代码 b) 程序二流程图及源代码 五、实验小结实验参考 一、教学机与 PC 机互联1.关闭电源,将大板上的 COMl 口与 PC 机的串口相连; 2.接通电源,在 PC 机上运行 PCEC.EXE 文件,设置所用 PC 机的串口为“l”或“2” , 其它的设置一般不用改动,直接回车即可; 3.置控制开关为 00101(连续、内存读指令、组合逻辑、16 位、联机) ,开关拨向上方 表示“1” ,拨向下方表示“0”“X”表示任意。其它实验相同; , 4.按一下“RESET”按键,再按一下“START” ’按键,主机上显示: TEC-2000 CRT MONITOR Version 2.0 2001.10 Computer Architecture Lab. ,Tsinghua University Copyright Jason He &二、监控命令的使用1.用 R 命令查看寄存器内容或修改寄存器的内容 1)在命令行提示符状态下输入: RL ;显示寄存器的内容 注:寄存器的内容在运行程序或执行命令后会发生变化。 2)在命令行提示符状态下输入: R R0L ;修改寄存器 R0 的内容,被修改的寄存器与所赋值之间可 以无空格,也可有―个或数个空格 主机显示: 寄存器原值:xxxx 在后面输入新的值 0036 再用 R 命令显示寄存器内容,则 R0 的内容变为 0036。 2.用 D 命令显示存储器内容 在命令行提示符状态下输入: D 2000L 会显示从 2000H 地址开始的连续 120 个字的内容; 连续使用不带参数的 D 命令,起始地址会自动加 120(即 78H) 。 3.用 E 命令修改存储器内容 在命令行提示符状态下输入: E 2000L 屏幕显示: 2000 xxxx(地址单元的原有内容)(光标在此闪烁等待输入) : 输入 0000 (提示快捷使用方法:用 E 命令连续修改内存单元的值时,每修改完―个,按一下 空格键, 系统会自动给出下一个内存单元的值, 等待修改; 按回车键则退出 E 命令。 ) 依次改变地址单元
的内容为:33
用 D 命令显示这几个单元的内容 D 2000L 可以看到这六个地址单元的内容变为 22 55。 4.用 A 命令键入一段汇编源程序,主要是向累加器送入数据和进行运算,执行程序并 观察运行结果。 1)在命令行提示符状态下输入: A 2000L ;表示该程序从 2000H(内存 RAM 区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000:MVRD R0,AAAA ;MVRD 与 R0 之间只有一个空格,其他指 , 令相同 2002:MVRD R1,5555 , 2004:ADD R0,R1 , 2005:AND R0,R1 , 2006:RET ;程序的最后一个语句,必须为 RET 指令 2007:L ;直接敲回车键,结束 A 命令输入程序的 操作过程 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正 确的指令即可。 2)用 U 命令反汇编刚输入的程序 在命令行提示符状态下输入: U 2000L 在相应的地址会得到输入的指令及其操作码。 注:连续使用不带参数的 U 命令时,将接着从上一次反汇编的最后一条语句之 后继续反汇编。 3)用 G 命令运行前面刚键入的源程序 G 2000L 程序运行结束后,观察程序的运行结果,记录寄存器 R0 和 R1 的值。 R0=? R1=? 4)用 P 或 T 命令,单步执行这段程序,观察指令执行结果 在命令行提示符状态下输入: T 2000L 寄存器 R0=? TL 寄存器 R1=? TL 寄存器 R0=? TL 寄存器 R0=? 用 P 命令执行过程同上。 注:T 总是执行单条指令,但执行 P 命令时,则把每一个 CALL 语句连同被调 用的子程序一次执行完成。T、P 命令每次执行后均显示所有通用寄存器及状态寄存 器的内容,并反汇编出下一条将要执行的指令。 实验二、 实验二、脱机运算器部件实验参见实验指导书 P128 的 6.2“脱机运算器部件实验” ,对其做如下修改 3.实验内容 1)拨动开关置为 1xx00 2)D1 取为 0101H,D2 取为 1010H ,实验预习报告: 填表 6-1 的 ALU 控制信号 操作参考实验三、 实验三、 微程序控制器实验目的通过设计一条指令的微程序,深入理解微程序控制器的工作原理。实验设备运行 TEC-2000 模拟软件的 PC 机。实验内容为 TEC-2000 新增一条指令,其功能为将两个内存单元的内容相加,结果写回其中一个内存 单元。 预习要求1、说明上述指令的指令格式并为其分配指令操作码(不能与基本、扩展指令集中的任一指 令操作码相同) 2、给出上述指令的执行周期微程序编码,并为其中的每一条微指令分配微地址 3、说明实现上述指令应修改 MAPROM 存储单元的地址和值。实验参考: 实验参考: 一、教学计算机微程序控制器模拟程序使用说明在安装好 TEC-2000 教学计算机软件系统的 PC 机上,单击“开始”菜单,选择“程序” , 在弹出的列表中选择“TEC-2000 教学软件” ,在右边的菜单中选择“辅助设计与仿真” ,然 后在列表中选择“仿真” ,打开“16 位机微程序” 。计算机微程序仿真软件并启动运行,将 出现图 2-1 所示界面。图 2-1TEC-2000 微程序模拟主界面界面的最左边是功能区, 设置了程序的主要功能按钮, 下面将介绍这些按钮的功能和使 用方法。中间部分是用户程序区,用做调试的用户程序将在程序区中显示,供调试过程中查 看。右边的上半部分是输出窗口,可看成是 TEC-2000 教学计算机的显示器,按下“显示 ROMS 内容” 按钮后, 将被切换为显示各 ROM 的二进制数值。 下半部分是模拟程序的输出, 主要包括当前运行程序的指令字、程序状态字、各通用寄存器的值,以及 ALU 的输出结果, 这些,可供用户调试程序时观察程序运行的结果。 使用模拟程序主要是对用户设计的微程序方案进行仿真运行, 以检验方案的正确性。 因 此, 首先要装入用户设计的微程序, 它采用的是和真正要在硬件的控制存储器上烧制的格式, 即全部微程序方案由 MAPROM、ROM1~ROM7 共 8 个二进制文件组成。这些文件可用 UltraEdit 软件编写,也可自行编制程序完成由文本格式到二进制数据格式的转换后生成。 准备好 MAPROM、 ROM1~ROM7 共 8 个二进制文件后, 将它们存放在一个文件目录下。 然后,按图 5-1 中界面上的“导入各 ROM 文件”按钮,在图 5-2 所示界面上选定这 8 个文 件(文件名必须按照上述名称给定,并以.bin 为文件后缀) ,按“打开”按钮,将装入用户 设计的微程序方案。以后的操作,均是对本方案的各种机器语言程序运行过程的模拟。图 5-2装入微程序方案微程序方案装入完成后,可点击“显示各 ROMS 内容”按钮,进行查看和检查,如图 5-3 所示。若发现错误,可直接在界面上修改。修改后注意按“Update”按钮保存。 图 5-3查看或修改微程序界面 按“显示输出窗口”按钮可返回主界面。 为检查、 验证微程序方案的正确性, 需要对微程序实现的指令系统中全部指令进行模拟 运行,检查运行结果是否正确。这一过程可用简单的机器语言程序来完成,如我们可以用如 下程序来验证 MVRD、ADD 及 RET 三条指令的正确性。 MVRD R0, 0 MVRD R1, 1 ADD R0, R1 RET 将指令系统中所有指令都验证完后,可得到微程序方案基本设计正确的结论。此时,可 尝试进行加载监控程序并运行, 这样, 整个教学计算机将在用户设计的微程序控制器的控制 下运行。 为方便用户输入程序,教学计算机模拟系统支持汇编语言(如用户使用 TEC-2000 规定 的基本指令集) 、文本形式的二进制机器语言程序(对一些用户自行扩展的指令,交叉汇编 程序不能汇编,此时可采用这种方式)和纯二进制机器指令程序(即真正的机器语言程序) 。 下面分别介绍它们的使用过程。 汇编语言程序是符号化的机器语言,与机器语言相比,更方便进行人机交流。通过“导 入汇编文件”按钮或“文件”菜单项中的“导入汇编文件”项,将汇编语言程序读入到系统 中,然后,再使用“交叉汇编”按钮,对该汇编语言程序进行交叉汇编。此时,系统界面如 图 5-4 所示,可以对该汇编语言程序进行连续、单指令或单步骤执行。图 5-4装入汇编语言程序并交叉汇编 汇编语言程序虽然比较直观,但受交叉汇编的限制,只能是使用 TEC-2000 的基本指令 且是规定的操作码。对用户自行扩展的指令,或者是改变了指令的操作码,则无法直接使用 汇编语言程序,只能使用机器语言程序。 机器语言程序一般应是二进制格式,直接装入到主存储器中运行,这样,对用户编写程 序就不是很方便,写出 0、1 的代码后,还要事先转换成二进制格式才能装入。为此,教学 计算机模拟系统支持用户用 0、1 代码编写的机器语言程序(文本形式) ,由模拟系统本身进 行到二进制的转换。 例如, 我们可以用下面的机器语言文本文件来替换前面的汇编语言程序。 00 00 这些机器指令由前面的汇编语言程序对应而来, 使用的是 TEC-2000 缺省定义的操作码。 将这些内容保存为文本文件后(以.txt)为后缀,选择“文件”菜单项中的“导入机器指令 的汇编文件”项,可将程序装入到系统中。系统将自动完成该程序从文本文件到二进制机器 指令的转换。 当然, 本系统也可直接装入二进制机器指令运行, 这可以将一些已经是机器指令的程序 直接装入到系统中运行。 程序在模拟系统中运行正确后, 也可以用做在实际的教学计算机上, 用来进行硬件调试(此类文件一般应以.COD 或.BIN 作为后缀) 。选择“文件”菜单项中的 “导入机器指令的汇编文件”项,可将程序装入到系统中。需要说明的是,监控程序也可以 采用这种方式装入。 所有的指令均检查无误后,可以把监控程序作为对微程序设计方案的一个总的测试对 象。选择“文件”菜单项中的“加载监控程序”项,可将监控程序装入到系统中。 程序装入完成后,可使用“Reset”按钮,启动程序的运行。可用“连续执行”按钮将 程序完整的执行,也可使用“单指令执行”按钮单独执行一条指令,还可以用“单步执行” 按钮单独执行一个微操作。 “单指令执行”和“单步执行”时,可通过观察各输出结果,判 断程序执行的正确性。若不正确,可能是微程序设计方案有错误,可通过前面介绍的查看和 修改微程序界面,修改微程序,再进行进一步的调试,直到程序能正常运行。最后,可通过 运行监控程序来进行较大规模的检验。二、微程序控制器指令扩展示例下面,以在现有 TEC-2000 教学计算机微程序控制器基础上,扩展指令 ADC DR,SR 为例,说明实验的步骤。 (1)指令功能和格式的确定 ADC DR,SR 指令的功能是,将 SR 中的值用作源操作数、DR 的值用作目的操作数, 并把状态寄存器中 C 标志位的值作为最低位进位输入完成求和运算,结果存入目的寄存器 DR 中,即 DR←DR+SR+C。 指令格式可确定为操作码(8 位) ,DR(4 位) ,SR(4 位) 。由于它的功能在取指之后 可一步完成,我们把它归为 A 组指令,分配操作码为 。 指令寻址方式显然为寄存器寻址。 (2)指令步骤划分 与 ADD 指令类似,ADC 指令也划分为以下 3 个步骤: (i)AR←PC (ii)读主存,IR←读出内容 PC←PC+1 (iii)DR←DR+SR+C,保存状态信息 结束,检测中断请求,无中断请求,进入下一条指令的执行过程。 (3)给出每个步骤的控制信号 我们发现,上面的(i) (ii)两步都可借用原有微程序的段,只有(iii)的控制信号需 要设计。根据教学计算机的要求,各部件要求的控制信号设计如下:CI3~0 SCC3~0 0MRW 0I2~0 SAI8~6 SBI5~3 B口 A口 0SST SSHSCI DC2 DC101 00 10
另外, (iii)结束后,将和其他指令一样,转到检测中断请求的操作,所以,该条微指 令的下地址字段值应为 (也就是 16 进制的 30) 。 (4)将设计好的微程序段加入到原有微程序中 首先, 应确定该段微程序的微地址, 我们可以选择一个原有微程序中没有使用的微地址 如 50,来存放该段微程序。 具体的操作步骤是,启动模拟程序后,先导入只有基本指令的 ROM 文件,进入显示 ROMS 内容窗口,在该窗口输入微地址 50,按“查找”按钮后,在对应栏目中输入上面设 计的微程序控制信号,输入完成后,按“update”按钮修改。注意:因为我们给 ADC 设计 的操作码是 20,还需要修改地址为 20 的 MPROM 单元的内容,向该单元写入 50。如希望 保存设计结果,可按“生成新文件”按钮保存。此处的数字均为 16 进制的数。 (5)检查并调试设计方案 设计一段汇编语言程序,包含有 ADC 指令。装入到模拟程序中运行调试,通过观察寄 存器状态,检查设计正确与否。0011 实验四实验目的存储器部件实验通过看懂教学计算机中已经使用的几个存储器芯片的逻辑连接关系和用于完成存储器 容量扩展的几个存储器芯片的布线安排, 在教学计算机上设计、 实现并调试出存储器容量扩 展的实验内容。其最终要达到的目的是: 1.深入理解计算机内存储器的功能、组成知识; 2.深入地学懂静态存储器芯片的读写原理和用他们组成教学计算机存储器系统的方法 (即字、位扩展技术) ,控制其运行的方式; 思考并对比静态存储器芯片和动态存储器芯片在特性与使用场合等方面的异同。实验说明主存储器是计算机中存放正在运行的程序和相关数据的部件。教学计算机中 的内存储器有只读存储区(8K 字,用于保存监控程序、汇编器或者 BASIC 语 言解释执行程序)和随机读写存储区(2K 字的 RAM 区,用作为系统工作区和 保存用户的程序和数据,可以执行读和写操作)。还设置了可以增加另外 8K 字 的存储空间的芯片位置,用于实现扩展存储器空间(存储器的字、位扩展)的教 学实践。 技术说明如下: 1. 要扩展 8K 字的存储空间,需要使用 2 片(每一片有 8KB 容量,即芯片 内由 8192 个单元、每个单元由 8 个二进制位组成)存储器芯片实现。 2. 当存储器选用 58C65 芯片时,由于它是电可擦除可编程的 ROM 器件, 既可以通过专用的编程软件和设备向芯片写入相应的内容, 也可以通过写内存的 指令向芯片的指定单元写入 16 位的数据,只是这种写操作每次均需占用比较长 的写入时间,例如几百个微秒,因此需要通过运行延时子程序来加以保证。对 58C65 ROM 芯片执行读操作时,需要保证正确的片选信号(/CE)为低点平,使能 控制信号(/OE)为低电平,读写命令信号(/WE)为高电平,读 58C65 ROM 芯片的读 出时间与读 RAM 芯片的读出时间相同,无特殊要求;对 58C65 ROM 芯片执行写 操作时, 需要保证正确的片选信号(/CE)为低电平, 使能控制信号(/OE)为高电平, 读写命令信号(/WE)为低电平,写 58C65 ROM 芯片的维持时间要比写 SRAM 芯片 的操作时间长得多。为了防止对 58C65 ROM 芯片执行误写操作,可通过把芯片 的使能控制引脚(/OE)接地来保证,或者确保读写命令信号(/WE)恒为高电平。 实验内容1.正确设置 6116RAM 和 58C65ROM 的跳线,使之正常工作。 2.用监控程序的 D、E 命令对存储器进行读写,比较 RAM(6116) 、EEPROM(28 系 列芯片)在读写上的异同; 3.用监控程序的 A 命令编写一段程序,对 RAM(6116)进行读写,用 D 命令查看结 果是否正确; 4.用监控程序的 A 命令编写一段程序,对扩展存储器 EEPROM(28 系列芯片)进行 读写,用 D 命令查看结果是否正确;如不正确,分析原因,改写程序,重新运行。预习要求 预习要求1、 、 画出 6116 和 58C65 的芯片引脚图, 的芯片引脚图, 说明每条控制引脚的功能。 说明每条控制引脚的功能。 2、6116 和 58C65 的读、写周期分别是多少 ns?教学机系统时钟 、 的读、 系统时钟 ?教学机系统 频率为 307.2KHz,其系统时钟周期为多少 ns?读、写 6116 各需 ,其系统时钟周期为多少 ? 要多少个系统时钟周期? 各需要多少个系统 系统时钟周 要多少个系统时钟周期?读、写 58C64 各需要多少个系统时钟周 系统时钟周期 期? 3、画出教学机存储器(2 片 6116,4 片 58C65)与 16 位地址总 、画出教学机存储器( , ) 位数据总线、读写控制信号( 线、16 位数据总线、读写控制信号(/MWR,/MRD)的连接图。 )的连接图。 (可在实验后修正该图) 可在实验后修正该图 可在实验后修正该图 4、思考实验步骤中的思考题。 在实验报告中给出答案) 、思考实验步骤中的思考题。 在实验报告中给出答案) (实验步骤1.设置教学机的工作模式开关,使其处于适当的工作模式。 设置教学机的工作模式开关,使其处于适当的工作模式。 设置教学机的工作模式开关2.设置两片 6116 和四片 58C64 的跳线,使之构成一个 RAM 容量为 2K×16,ROM 容 量为 16K×16 的存储器。RAM 和 ROM 的地址范围各为多少? 3.6116 支持即时读写,可直接用 A、E 命令向扩展的存储器输入程序或改变内存单元 的值。 1)用 E 命令改变内存单元的值并用 D 命令观察结果。 &1&在命令 示符状态下输入: E 2020L 屏幕将显示: 2020 内存单元原值: 按如下形式键入: 2020 原值:2222(空格)原值:3333(空格)原值:4444(空格)原值: 5555L &2&在命令行提示符状态下输入: D 2020L 屏幕将显示从 2020 内存单元开始的值,记录 H 的值: &3&断电后重新启动教学实验机, D 命令观察内存单元
的值, 用 会发 现什么现象?说明了什么道理? 4.AN58C65 的读操作和 RAM 一样,而写操作需要一定的时间,大约为 1 毫秒。因此, 需要编写一段延时子程序,在对 EEPROM 进行写操作时,调用该延时子程序,以完 成正确的读写。 1)用 E 命令改变内存单元的值并用 D 命令观察结果。 &1&在命令行提示符状态下输入: E 5000L 屏幕将显示; 5000 内存单元原值: 按如下形式键入: 5000 原值:2424(空格)原值:3636(空格)原值:4848(空格)原值: 5050L &2&在命令行提示符状态下输入: D 5000L 屏幕将显示 FH 内存单元的值, 5000 开始的连续四个内存单元 从 的值依次为 48 5050。 &3&断电后重新启动, D 命令察看内存单元
的值, 用 观察数值是否发 生变化,说明 EEPROM 的内容断电后能否保持? 2)AN58C65 存储器不能直接用 A 命令输入程序(建议试一试) ,可将编写好的程序 用编程器写入片内;也可将程序放到 RAM(6116)中,调用延时子程序,访问 AN58C65 中的内存地址。 下 面 给 出 的 程 序 , 在 FH 单 元 中 依 次 写 入 数 据 0000H 、 0001H、. ..000FH。 &1&从 2000H 单元开始输入主程序: (2000)MVRD R0,0000 , MVRD R2,0010 ;R2 记录循环次数 , MVRD R3,5000 ;R3 的内容为 16 位内存地址 , (2006)STRR [R3],R0 ;将 R0 寄存器的内容放到 R3 给出的内存单元中 , CALA 2200 ;调用延时子程序 INC R0 ;R0 加 1 1NC R3 ;R3 加 1 DEC R2 ;R2 减 l JRNZ 2006 ;R2 不为 0 跳转到 2006H RET &2&从 2200H 单元开始输入延时子程序: (2200)PUSH R3 MVRD R3,000F , (2203)DEC R3 JRNZ 2203 POP R3 RET &3&运行主程序,在命令提示符下输入: G 2000L &4&程序执行结束后,在命令提示符下输入: D 5000L 观察并记录从 5000H 开始的内存单元的值: 5000: 5008: 【思考题 (1)为何能用 E 命令直接写 AN58C65 的存储单元,而 A 命令则有时不正确? 思考题】 思考题 (2)修改延时子程序,将其延时改短,可将延时子程序中 R3 的内容赋成 00FF 或 0FFF 等,再看运行结果,并记录下来(运行之前,先将 5000H 开始的十个单元内 容清零) 。 R3=00FF 时: R3=0FFF 时: 3)自行编写一段程序,实现以下功能:将从 5000H 开始的 16 个内存单元的值转存至 从 2050H 开始的 16 个内存单元。 实验五实验目的: 实验目的:串行接口输入输出实验学习串行口的正确设置与使用。实验说明: 实验说明:1. TEC-2000 配置了两个串行接口 COM1 和 COM2, 其中 COM1 口是系统默认的串行 口,加电复位后,监控程序对其进行初始化,并通过该口与 PC 机或终端相连;而 COM2 口,留给用户扩展用。 2. 查阅有关书籍, 了解串行通信接口芯片 8251 的工作原理; 了解 8251 复位、 初始化、 数据传输的过程。提醒注意的是,每次对 8251 复位后(即按 1 次“RESET”按键) , 都需要对其进行初始化,然后再进行正常的数据传输;复位后,只能对其进行 1 次 初始化,多次初始化将导致串口工作不正常。 3. 在使用 COM2 口时,需要将两片 8251 芯片之间的插针用短路子短接(出厂时已短 接) ,这样才能为 COM2 正常工作提供所需的控制信号和数据;另外,还需要为其 分配数据口地址和控制地址。本教学机,已将 COM2 口的 C/(D 非)与地址总线 的最低位 AO 相连,而其片选信号未连,只引出 1 个插孔,实验时,应将该插孔与 标有“I/O /CS”的 7 个插孔中的 1 个相连。实验内容: 实验内容:1. 为扩展 I/O 口选择一个地址,即将与 COM2 口相连的 8251 的/CS 与标有 I/O /CS 的 一排插孔中的一个相连。 2. 初始化教学机 COM2 对应的 8251。 3. 编程向 COM2 发送数据。预习要求: 预习要求: 要求1. 复习监控命令和教学机编程。 2. 编写实验步骤中第 4、5 步的程序实验步骤: 实验步骤: 步骤1. 为扩展 I/O 口选择一个地址,将与 COM2 口相连的 8251 的/CS 与标有 I/O /CS 的插 孔中的一个相连;注意,将两片 8251 芯片之间的插针短接(出厂时已按默认方式 短接) 。 2. 将教学机 COM1 口与 PC 机 COM1 相连,在 PC 机上启动 PCEC16.EXE。 3. 将连接到教学机 COM1 插座的插头拔起,改接到教学机 COM2 插座。用另一根电 缆连接教学机的 COM1 和 PC 的 COM2,再次启动 PCEC16.EXE(原来那个窗口不 要关) 新开一个 PCEC 窗口, , 且称旧的那个 PCEC 窗口为 PCEC_OLD, 新的 PCEC 窗口为 PCEC_NEW,则 PCEC_NEW 可与教学机的监控程序互动,PCEC_OLD 只 能接收显示教学机 COM2 送来的字符。 4. 在 PCEC_NEW 上输入程序(A 命令或加载.COD 文件) : 1) 初始化教学机 COM2 的 8251, 使之: 波特率=9600, 字符长度=8, 无奇偶校验, 停止位长度=1 2) 给教学机 COM2 送命令字,使#RTS 引脚=0,状态寄存器出错位清 0,发送器 使能 5. 编程从使教学机 COM1(对应 PCEC_NEW 窗口)接收 PC 按键 ASCII 码,并转换 成大写字母从教学机 COM2 输出,此时在 PCEC_OLD 窗口能看见输出的字符。 实验六 总线传递实验 一.实验目的1.熟悉接总线的逻辑器件的种类和特征。 2.掌握采用总线结构进行信息传送的方法。二.实验说明TSL 器件:TSL―三态逻辑(Tri―State Logic)该类器件具有三种逻辑状态 (0, 高阻) l, 利用器件的高阻状态几乎能完全与总线上互联的所有部件隔离, 它可以提高总线的负载能力及电路的稳定性,是目前常用的总线传送器件。 I.74LS244―三态输出八缓冲器/总线驱动器 . 它是专作挂总线用的三态逻辑器件之一。 其逻辑图见下:该器件有 8 位数据输入。8 位原码三态输出, 二个输出控制端#1G,#2G, 分别控制两组独立的 4 位数据传送,当#1G,#2G 连接时,可作 8 位控制端,控 制端为“0”时为数据传送状态为“1”时输出为高阻状态,整个器件与总线隔离。 用一片 74LS244 可作为单向数据传送,若用二片器件则可构成双向数据传送, 事实上,目前市场上也有现成的双向总统驱动器件出售。如:74LS245。 II.74LS273 八 D 锁存器 . 74LS273 有 8 个数据输入端 D7~0,8 个数据输出端 Q0~7,一个时钟端 CP 和一个清零端#MR。其逻辑图见后。 3.总线建立的原则 .(1)互斥性:指挂在总线上的各总线驱动器(指发送端)必须分时操作, : 即不允许在同一总线上同时有多个数据源发送信息但允许有几个部 件同时接收总线上的信息。 (2)一致性:指在同一总线上所用的总线控制器件类型(如 OC 线或门 : 或三态传输门)应一致,同时应考虑总统的负载能力和阻抗匹配, 挂总线器件的有关参数可查手册。三.实验内容: 实验内容:下列框图所示为四个部件 I、O、M、P 共享总线传输数据,各部件和总线字长 为 4 位。要求各部件之间的信息传送功能如下: a、I→0,P b、P→M c、I→M d、M→PSingle74LS244×1 74LS273×1Bus74LS273×1 74LS273×14IOMPD0~D3 LO LM LP 要求分别用单步和连续两种方式完成上述四步动作。可用实验箱的节拍信号 T1~T4 指示四个步骤,如用 Ti=1 指示正在执行第 i 步。单步传送时,各输入部 件的时钟信号可用 P2 生成;连续传送时,各输入部件的时钟信号可用 M 生成。 如:I 部件由一片 74LS273 中的 1A3~1A1 构成,其使能控制信号为#IG,则在单 步时#IG 的逻辑表达式为: # IG = T 1 + T 4 。其它各部件的组成芯片的时钟、输出 使用信号也可用 T1~T4、M、P1 通过与、或、非门(74LS00/04/08/32)合成。四.预习要求: 预习要求:1、 熟悉实验芯片: 74LS244/74LS273/74LS08; 其它可用芯片: 74LS373,74LS00,74LS04,74LS32 2、画出实验的逻辑框图:I、O、M、P 四个部件各由何芯片构成?它们与总线、LED、拨 动开关的连接图 3、每个芯片的控制信号的逻辑表达式 a)手动单步:输入为 T1~T4、P2 b)自动连续:输入为 T1~T4、M4、实验报告规范:在预习报告上添加小结,总线实验现象及故障排除方法。五.参考资料 JYS-3 型计算机组成原理实验仪的结构组成 -一. 概述JYS―3 型计算机组成原理实验仪由直流稳压电源、电平显示灯、逻辑开关、 单脉冲按钮、时钟\时序发生器,微程序控制器、八位数据通路,通用设计板 9 个部分组成。它采用模块组合结构。各部分在实验仪中的位置见图 1 所示。为了提高学生的实践能力,每个实验都要求学生自己设计电路和布线。为了 实验方便,我们对原来的时钟,时序发生器进行了改造,输出端跟原来的一样。 二.各组成部分功能介绍 各1. 通用设计板通用设计板位于实验箱的右边,由一些双列直插式插座组成,这些插座都是 按 74LS 系列的标准定义(逆时针,第一排的最后一脚接地,第二排的最后一脚 接+5V。 )这些插座有 14 脚,16 脚,18 脚,20 脚,40 脚。实验中学生可以根据 所用芯片的管脚数选择相应的插座来插芯片。 并且这些插座的地线和电源线都已 经连接在一起。它必须通过通用设计板的正电源和地接线柱引入直流电源,则整 个通用设计板的每个插座都有电。这些插座除电源和地管脚没有接线柱引出,其 它管脚都有一接线柱与之对应, 并在接线柱边标出对应的管脚号。 学生在连线时, 把导线插在接线柱上就可和对应的管脚连接好。2. 直流稳压电源交流输入电压:220V±10% 50Hz,直流输出电压:+5V±0.1V 2.5A。直 流稳压电源位于实验箱左边的最上面。 有两组+5V 电源输出。 一组电源的正极和 负极分开的插孔,用来给实验台提供电源。另外一组电源的正极和负极是同一插 孔的,用来给逻辑笔供电。电源的通断是通过开关实现。3. 时钟,时序发生器 时钟,时钟,时序发生器输出信号有:T1―T4, T1―T4,M1。输入信号:HALT 。 时序频率分二档: 低频:10Hz 中频:2KHz 时序频率的选择是通过电平开关 K23 实现的。当开关为低电平时,时序频率 为中频。为高电平时,时序频率为低频。 节拍信号 T1―T4 波形:T1 T2T3T4M1 每个节拍内均包含脉冲 M1。节拍信号有三种工作方式。这三种工作方式受 K24,K25 电平开关组合控制。 功能说明 K24 K25 0 0 连续时标方式 0 1 单周期时标方式 1 0 单周期时标方式 1 1 单拍时标方式 时标信号的启动,停止受 P1,P0 按钮开关控制。当时标信号启动运行时,不 论时标信号运行到第几拍,这时只要输入端信号(HALT)有低电平信号输入,时 标信号都会回到 T1 怕并停止运行。只有再次按启动按钮开关,时标信号才能运 行。三.利用实验台的时标系统,获得各种时标信号 利用实验台的时标系统,通常,实验系统中所需的时标信号可用两种方法得到: 方法一,直接输出―指直接从实验台时钟,时序发生器的时标信号输出接线 柱引出。 本实验台提供下列几种信号: (1)受控制脉冲信号:M1 (2)受控节拍电平:T1―T4, T1―T4 (3)单脉冲信号:P0,P0,P1,P1,P2,P2 方法二,组合输出―指把几种时标信号作为输入逻辑变量,经各种逻辑组 合而获得所需的时标信号。 如:N1=T1M1 N2=T1M1+T2M1 N3=T1+T2如何做好实验为了做好《计算机组成原理》课程实验,对每个学生来说,除了有一定的理 论知识及认真严肃的学习态度外,还需掌握一些必要的实验方法和技巧,培养根 据实验器件情况进行逻辑设计的能力和良好的操作习惯,对于本课程实验,要做 好每一个实验的步骤是:实验前的准备―组装―调试―书写总结报告,下面就上 述每一步骤提出一些注意事项。一. 实验前的准备由于 《计算机组成原理》 实验所涉及的规模较大, 逻辑关系也较复杂。 因此, 做好实验前的预习及所需仪器、器件、工具配置等各项准备工作是必需的。1.预习预习是做好每个实验的一项极为必要又必不可少的工作, 预习工作做得越充 分,越能加深对实验原理的理解,从而避免不必要的错误,缩短实验时间,提高 效率。 预习时应做到: 预习时应做到: (1)认真阅读指导书,明确实验目的,弄清实验原理。 (2)根据实验内容和要求,自行设计实验方案。设计实验方案时,应根据实 验室所能提供的器件,结合实验内容和要求,简化逻辑函数,力争使用 较少的逻辑器件,实现所要求的逻辑功能,同时要考虑器件的负载能力 (一般器件的负载能力不能超过手册上的额定值)等。 (3)画出逻辑框图,详细的布线图和各控制信号的时序波形图。 逻辑框图为某实验的总体结构框图,各组成部分一般由特定的符号, 并在各方框的边上标明所用器件的型号和数量。例如,某逻辑框图如 下,其中 8 表示数据宽度为 8 位,I 部件是由一块型号为 74LS244 的 芯片组成的。874LS244×1 74LS273×1 74LS273×1 74LS273×1IOMPDILOLMLP其中 DI 表示开关输入,LO,LM,LP 表示输出显示。布线图应注明 器件的型号、连线的脚号。器件在面包板上的位置和指出哪些脚或线在 布线时应特别注意等。并核对其正确性,详细,正确的布线图能减少出 错,提高组装速度,也便于查错和修改。分析时序波形图是根据逻辑函 数,描绘好实验方案中各功能部件或观察点输出的时序波形,这些波形 应画在同一时间坐标系统中,以便能清楚地看出它们之间的时序关系。2.仪器、器件、工具的配置 .仪器、器件、实验设备的配置是做好实验的必要条件, 学生在做实验之前必须填写设备和 器件清单,以使实验员提供器件和设备,本实验室可供学生选择的各类设备有: (1)仪器 JYS-3 一型多功能计算机实验台―该实验台是本实验的主要装置。 示波器―用以检测电源和电路输出的电压及波形等。 逻辑笔―观察电路测点的逻辑状态。可记忆单个或多个脉冲。 (2)器件 本课程实验的大部分器件是采用进口芯片―74LS 系列芯片(双列直插 式塑料封装,各种型号的逻辑功能。外引脚图。负载能力等参数可见附录 或者器件手册) 。 由于实验方案是由学生自行设计的。使用的器件型号也由学生自行确 定,但只能在实验室所能提供器件型号的范围内选择。因此在设计逻辑方 案时,必须向实验室有关人员预先登记所需器件的型号和数量。若有可替 代的器件型号,则应选择控制逻辑简单的为宜。 (3)工具常用的工具有:镊子和各种单色或花色导线等。 二.组装预习时设计的逻辑方案如何在实验台上实现其功能呢?首先, 必须把方案 这个“虚体”变为“实体” ,即组装,然后才能着手进行调试, “实体”的主要 组成部分是器件及把所有器件连成一个功能部件或者整机的导线和其它一些 辅助元器件等。所以组装这一步骤的关键是器件的安排和布线的技巧两个问 题。 l.器件的安排 . 如果把逻辑关系较紧密的几片器件尽可能地近放在一起,则有利于减小 走线长度,使面板整洁便于检查。对于那些接受开关输入的器件应尽可能地 置于最下方的插座上,那些输出信息供显示用的器件,应尽可能地置于最上 方的插座上;那些逻辑上与实验台的时标信号(如 M1,T1~T4,HALT 等) 有关的器件,应尽量安插在右边;除此之外的其它器件可考虑安放在通用设 计板左边的适当位置上。2.布线的技巧 .先布数据的输入输出线,最后布各种控制线。总线的数据线可用同一种 单色线。布线的正确性检查:每布一根线必须检测其逻辑正确和接触良好, 不要等线全部布好了再一起检查。最好的办法是二人合作,一人操作,一 人监督,或者二人轮流布线和检查一。总线可设置在通用设计板的中间, 有利于左右两边的器件连线。尽量先布横线,后布纵线,避免交叉太多而 引起的杂乱感,也利于检修。三. 调试组装完毕,便可着手进行调试,调试过程中,必须按照预习时列出实验步骤 进行。注意观察,记录和分析实验现象。并与预计的结论比较,判断实验是否成 功。由于种种原因,实验可能不会一举即成,往往会出现实验结果与预计不符。 这就需要实验者及时记录和分析错误现象,通过改变实验方法或修改方案,尽快 地排除故障,做好实验。1.故障的分类 .在调试过程中出现的故障是各种各样的,如果按时间来分,这些故障可 分为永久性和暂时性两类。 (1)永久性故障:此类故障一般是指器件损坏、器件负载能力不够、布线错 误、设计性错误等等。这类错误导致的出错现象一般会重复出现。 (2)暂时性故障:此类故障是由于接触不良、布线不合理引起的噪声干扰、 电源不稳、实验手段和操作步骤不妥等引起的。这类实验性错误大部分 带有随机性,出现错误现象往往不重复。2.分析和排错 .(1)验证故障的真实性 调试过程中,如果“出现错误现象,必须先核实一下,是否由于观 察手段、控制手段。 、操作步骤的不正确而引起的假出错现象。若是, 只需按正确的实验方法进行一遍,便可排除这种假故障。若非则应记录 错误现象,以便分析和排除。 (2)排错 如果出错现象是属永久性故障,则应检查电源地线是否漏接、布 线有无错误、器件是否有悬空脚。有无设计性错误,尤其是各控制端的 时序关系是否协调等等,而造成暂时性故障往往与实验者本人的实践经 验及良好的实验习惯有关。因此,应在实验过程中培养独立分析和解决 问题的能力。充实实践经验。 附录 常用器件简介74LS00 74LS04 74LS08 74LS32 74LS02 74LS10 74LS11 74LS20 74LS27 74LS54 74LS74 74LS85 74LS138 74LS86 74LS139 74LS153 74LS154 74LS157 74LS161 74LS174 74LS181 74L8182 74LS 193 74LS244 74LS245 74LS273 74LS373 74LS374 74LS381 74LS670 21l4 2 输入四正与非门 六倒相器 2 输入四正与门 2 输入四正或门 2 输入四正或非门 3 输入三正与非门 3 输入三正与门 4 输入双正与非门 3 输入三正或非门 四组输入与或非门 正沿触发双 D 触发器 4 位幅度比较器 3 一 8 线译码器 2 输入四异或门 双 2―4 线译码器 双 4 选 1 数据选择器 4―16 线译码器 四 2 选 1 数据译码器 同步 4 位计数器 六 D 触发器 算术逻辑单元 超前进位发生器 同步可逆双时钟计数器 八缓冲器/总线驱动器 八总线收发器 八 D 触发器 八 D 锁存器 八 D 锁存器 算术逻辑单元 4×4 寄存器堆 1K×4 静态 RAM 附录74LS00 2 输入四正与非门常用器件简介74LS04六倒相器74LS082 输入四正与门 74LS322 输入四正或门74LS022 输入四或非门 74LS103 输入三正与非门74LS113 输入三正与门 74LS204 输入双正与非门74LS273 输入三正或非门 74LS54四组输入与或非门74LS74正沿触发双 D 型触发器 H(Note 1)这种情况是不稳定的,即当顶置和清除输入回到高电平时,状 ( 不稳定的, )这种情况是不稳定的 即当顶置和清除输入回到高电平时, 态将不能保持 74LS85 四位幅度比较器74LS85 功能表 比较输入 A2B2 × × A2&B2 A2&B2 A2=B2 A2=B2 A2=B2 A2=B2 A2=B2 A2=B2 A2=B2 A2=B2 A2=B2 A2=B2 A1B1 × × × × A1&B1 A1&B1 A1=B1 A1=B1 A1=B1 A1=B1 A1=B1 A1=B1 A1=B1 A1=B1 A0B0 × × × × × × A0&B0 A0&B0 A0=B0 A0=B0 A0=B0 A0=B0 A0=B0 A0=B0比较输入 A&B A&B A=B × × × × × × × × H L L × H L × × × × × × × × L H L × H L × × × × × × × × L L H H L L输出 A&B H L H L H L H L H L L L L H A&B L H L H L H L H L H L L L H A=B L L L L L L L L L L H H L LA3B3 A3&B3 A3&B3 A3=B3 A3=B3 A3=B3 A3=B3 A3=B3 A3=B3 A3=B3 A3=B3 A3=B3 A3=B3 A3=B3 A3=B374LS1383―8 线译码器 138 功能表74LS862 输入四异或门74LS139双 2―4 译码器 74LS139功能表74LS153双 4 选 1 数据选择器 74LS153 功能表74LS1544―16 线译码器 74LS154功能表 74LS157四 2 选 1 数据译码器74LS157功能表 74LS161同步四位计数器74LS161功能表74LS174六 D 触发器 74LS181四位算术逻辑单元/ 四位算术逻辑单元/功能发生器 74LS181功能表(原码输出,反码输出 功能表 原码输出,反码输出) 原码输出 74LS182超前进位发生器74LS182功能表 73LS193同步可逆双时钟计数器 74LS193功能表74LS244三态输出八缓冲器/ 三态输出八缓冲器/总线驱动器74LS244功能表 74LS245八总线收发器74LS245功能表74LS273八 D 触发器 74LS273功能表74LS373八 D 锁存器 74LS373功能表74LS374八 D 锁存器 74LS374功能表74LS381算术逻辑单元74LS381功能表 74LS670三态输出 4×4 寄存器堆74LS670 写输入 Wb Wa Ew L L L L H L H L L H H L w w H MN2114 字 0 1 2 3 Q=D Q0 Q0 Q0 Q0 Q=D Q0 Q0 Q0 Q0 Q=D Q0 Q0 Q0 Q0 Q=D Q0 Q0 Q0 Q0 随机存储器功能表 读输入 Rb Ra Er L L L L H L H L L H H L w w H 读出数据 Q1 Q2 Q3 Q4 W0B1 W0B2 W0B3 W0B4 W1B1 W1B2 W1B3 W1B4 W2B1 W2B2 W2B3 W2B4 W3B1 W3B2 W3B3 W3B4 Z Z Z Z MN2114 CE 非 H L L L WE 非 w L L H功能表 I/O 高阻 H L 输出 操作方式 没有选中 写“1” ” 写“0” ” 读
计算机组成原理实验 (一)周克峰 云南大学信息学院 电子信息技术基础实验中心电路实验室 前 言 这本指导手册在几年来的教学实验的基础上编写。 目的是为了加强 “计...《 计算机组成原理 》 实 验 报 告 册 班级: 姓名: 学号: 唐山学院计算机科学与技术系 2011 年 12 月 《 计算机组成原理 》课程实验报告(一)实验名称 实验...计算机组成原理实验指导手册 实验1 Quartus Ⅱ的使用 46 实验2 运算器组成实验 47 实验3 半导体存储器原理实验 50 实验4 数据通路的组成与故障分析实验 51计算机组...计算机组成原理实验C_COP说明书_计算机硬件及网络_IT/计算机_专业资料。计算机组成原理实验 伟福? 伟福 COP2000 型 计算机组成原理实验仪 实验/教师手册 南京伟福...50 -2- 计算机组成原理实验指导书 第一部分 Quartus II的操作 的操作 第1章 Quartus II 简介 章 1.1 Quartus II软件 Quartus II 软件是 Altera 公司的综合...计算机组成原理实验说明_计算机硬件及网络_IT/计算机_专业资料。计算机组成原理,大家看啊实验一 运算器组成实验一、实验目的 1.熟悉双端口通用寄存器堆(组)的读写操...计算机组成原理实验仪说明书_计算机硬件及网络_IT/计算机_专业资料。1伟福...(本手册使用 ABEL) , 时序图等等,在本手册中使用以上的四种方式来综合描述硬件...计算机系计算机体系结构实验报告手册_互联网_IT/计算机_专业资料。《 计算机体系结构...《 计算机组成原理 》课程实验报告(一)实验名称 实验时间 姓实验人学 实验性质...(内部资料) DVCC 系列计算机组成原理实验指导手册 2008 年 10 月 1 目录第1章 1.1 1.2 1.3 DVCC 系列计算机组成原理系统概述 ... 5 DVCC 系列计算机组成...计算机组成原理实验项目说明序号 1 课程 计算机组成原理 项目 [0001]运算器实验 基本要求 1.熟悉 proteus 仿真系统 2.设计并验证4位算数逻辑单元的功能 1.理解 ...
All rights reserved Powered by
www.tceic.com
copyright &copyright 。文档资料库内容来自网络,如有侵犯请联系客服。

我要回帖

更多关于 简谱里横线是什么意思 的文章

 

随机推荐