multisim 任意波形11仿真波形图出不来

温馨提示!由于新浪微博认证机制调整,您的新浪微博帐号绑定已过期,请重新绑定!&&|&&
爱是我们共同的语言
LOFTER精选
网易考拉推荐
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
本文转载自玲馨相随
问题:我该如何修正错误:Time Step Too Small Error?解答:在Multisim中错误Time Step Too Small是非常常见的一个错误,而它可能会由于各种各样的因素造成。在小规模电路中,这种错误普遍是因为电子元件的划线以及连接错误而产生的,而这点也正是最先有必要进行检查审核的: 如果电子元件的划线已通过以下步骤而生效:
选择 Simulation ? Interactive Simulation Settings
在 Initial conditions 中选择 Set to zero
点击 OK 按键
然后检查相关的电路模拟是否已经开始运行,如果没有请进行以下步骤的操作:
选择 Simulation ? Interactive Simulation Settings
将 Maximum Time Step (TMAX) 由1e-005改选为1e-003
点击 OK 按钮
再次检查相关的电路模拟是否开始运行,如果还是没有请进行一下步骤的操作:
选择 Simulation ? Interactive Simulation Settings
选择 Analysis Options 标签页
选择 Use Custom Setting 单选按钮并且点击 Customize 按钮
选择 Global 标签选项
将 Relative Error Tolerance [RELTOL] 的值设定为 0.01
将 Shunt resistance from analog nodes to ground [RSHUNT] 的值设定为 1e+9
选择 Transient 标签页
将 Integration Method [METHOD] 设定为to Gear
Click the OK button
Click the OK button
在Multisim 10.x 以及更高版本中Convergence Assistant可以便捷为您调整这个参数,而规避相应的错误信息
----------------------------------------------------------另---------------------------------------------------------------
这两天用multisim仿真一些电路,好不容易把电路画好了~高高兴兴按下仿真,悲剧发生了~~~电路中用到55产生一个1hz的脉冲。结果,反正是时间从us开始的~~~步进也是us,我在现实中等了1分钟,multisim里面仅仅过去3.8S,无语ing百度了一下,都讲的七七八八,自己摸索出来。在此写出方法,不让multisim浪费我们的时间方法:1。打开multisim
点击仿真----交互式仿真设定2。出现如下:
勾选设置最大步长时间,然后填写你想要的数值在下面勾选设置初始步长(!!!这个就是实际的时间步进如果你想要0.1s步进,这就填写0.1)注意:最大步长时间一定要大于初始时间步长,相等也不行,否则你确定了但是软件还是会按照原来那样仿真。注意:在multisim中,步进时间越小,仿真越精确,步进时间越长,仿真不精确,自己酌情设置吧O(∩_∩)Omultisim还有很多很强大的功能,昨天发现一个,很多模块电路他可以自己设置生成,不必我们每次都去摆器件,动手画!比如555定时电路之类的----------工具-------------电路设置向导--------555时基电路出现:
设置好参数后,点击编译电路,等一会,ok了,直接摆放。再电路设置向导里面还可以生成一般放大电路,普通多阶lc滤波电路等,我们只要填写一些设置就可以放大器向导:
阅读(26311)|
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
历史上的今天
loftPermalink:'',
id:'fks_081064',
blogTitle:'【引用】解决multisim仿真速度慢
multisim11.0中仿真时间步长的设定方法',
blogAbstract:'
在Multisim中收到错误信息:模拟仿真步长过小
主要软件:Electronics Workbench&&Multisim主要软件版本:9.x主要软件修正版本:次要软件:N/A
blogTag:'',
blogUrl:'blog/static/',
isPublished:1,
istop:false,
modifyTime:0,
publishTime:6,
permalink:'blog/static/',
commentCount:2,
mainCommentCount:2,
recommendCount:5,
bsrk:-100,
publisherId:0,
recomBlogHome:false,
currentRecomBlog:false,
attachmentsFileIds:[],
groupInfo:{},
friendstatus:'none',
followstatus:'unFollow',
pubSucc:'',
visitorProvince:'',
visitorCity:'',
visitorNewUser:false,
postAddInfo:{},
mset:'000',
remindgoodnightblog:false,
isBlackVisitor:false,
isShowYodaoAd:false,
hostIntro:'爱是我们共同的语言',
hmcon:'0',
selfRecomBlogCount:'0',
lofter_single:''
{list a as x}
{if x.moveFrom=='wap'}
{elseif x.moveFrom=='iphone'}
{elseif x.moveFrom=='android'}
{elseif x.moveFrom=='mobile'}
${a.selfIntro|escape}{if great260}${suplement}{/if}
{list a as x}
推荐过这篇日志的人:
{list a as x}
{if !!b&&b.length>0}
他们还推荐了:
{list b as y}
转载记录:
{list d as x}
{list a as x}
{list a as x}
{list a as x}
{list a as x}
{if x_index>4}{break}{/if}
${fn2(x.publishTime,'yyyy-MM-dd HH:mm:ss')}
{list a as x}
{if !!(blogDetail.preBlogPermalink)}
{if !!(blogDetail.nextBlogPermalink)}
{list a as x}
{if defined('newslist')&&newslist.length>0}
{list newslist as x}
{if x_index>7}{break}{/if}
{list a as x}
{var first_option =}
{list x.voteDetailList as voteToOption}
{if voteToOption==1}
{if first_option==false},{/if}&&“${b[voteToOption_index]}”&&
{if (x.role!="-1") },“我是${c[x.role]}”&&{/if}
&&&&&&&&${fn1(x.voteTime)}
{if x.userName==''}{/if}
网易公司版权所有&&
{list x.l as y}
{if defined('wl')}
{list wl as x}{/list}modelsim仿真没有波形或看不到波形的原因及解决方法
  关于modelsim仿真时出现 No objects found matching &/*& 问题在Modelsim6.2系列版本中仿真时,点击start simulateion后,出现Objects空白的问题,导致执行 add wave al l时出现&# (vish-4014) No objects found matching &/top_tb/*&。&的报错选项。尽管输入run后,可以运行仿真,但却无法察看wave窗口的波形。
  这是优化的问题。
  解决方法:
  1. 点击工具栏中的&simulate&按钮,调出start smulaon窗口,把窗口中最下边opmizaon栏中的Enable opmization项目前的钩钩去掉,然后选择仿真的文件,点击OK,就一切正常了,能看到objects了。
  Quatus ii联合modelsim仿真无法产生波形或波形一直为Hiz状态原因分析
  最近用用modelsim仿真Quatus写的testbench,遇到了波形无法产生的问题,一直卡在这里很久都没找到原因,经过一番分析和各种乱试,终于找到原因了。
  在设置编译的test bench的时候,一定要把顶层模块设置为xx_vlg_tst(),就是启动test bench template writer的时候,软件给你自动产生的那个test bench的模块名。因为在仿真的时候,这个模块才是真正的顶层模块,是它实例化测试模块,并给与测试模块激励信号的。设置方法如下:
  点击主界面菜单栏的Assignment&&》Settings,出现Settings对话框,然后在Category栏选择EDA Tool Settings&&》Simulation,出现以下界面,
  点击3右边的Test Benches按键,出现Test Benches对话框,然后点击该对话框右边的New按键,出现了Edit Test Bench Settings对话框,如下,
  在Test Bench name一栏中,输入test bench文件的名字,就是在simulation/modelsim文件夹中那个后缀为.vt的文件的名称,而Top level module in test bench一栏则要输入test bench文件中那个模块的名称,这个是自动产生的,名字都是xx_vlg_tst,xx为test bench文件的名称,记住,这里一定要设置好顶层模块是xx_vlg_tst,因为默认情况下它是和test bench name是一样的,如果这样设置,是无法产生波形的。
  之前一直用ise联合modelsim仿真,ise里面就没有这些繁琐的步骤,在写test bench的时候,软件自动把test bench设置为了顶层模块,如图:
  仿真的时候只需要点击vtf_xx.v文件,然后双击Simulate Behavioral Model就会调用modelsim,仿真test bench文件了。
关注电子发烧友微信
有趣有料的资讯及技术干货
下载发烧友APP
打造属于您的人脉电子圈
关注发烧友课堂
锁定最新课程活动及技术直播
Multisim7.0特点及在发动机驱动设计及仿真的应用 在电子电路设计的初级阶段,电子工程师为了验...
首先是模型的搭建问题。在Simulink环境中建模时,以s域的表达式进行建模时问题要少一些,增大误差...
很多人认为硬件加速器无非是一种速度更快的仿真器而已。毫无疑问,由于硬件加速器使用物理硬件进行仿真,使...
对于传统的 PWM 模式控制器,比如 UC384X 系列,能非常好的应用在反激和正激拓扑的控制上。电...
在测量控制系统中,常常需要实时时钟,以实现定时控制、定时测量或定时中断等。也常需要计数器以实现对外部...
randerr 产生比特误差样本
randint 产生均匀分布的随机整数矩阵
...
工业机器人虚拟仿真软件主流有:ABB公司的Robotstudio、FANUC公司的Roboguide...
由于skill 语言提供编程接口甚至与C 语言的接口,所以可以以Cadence 为平台进行扩展用户,...
怎么样,通过这个简单的工程,大家是不是掌握了使用Quartus II进行工程创建、设计文本创...
随着半导体工艺的发展,在电子系统高功耗、高密度、高速、大电流和低电压的发展趋势下,高速 PCB设计领...
介绍了Buck三电平变换器的工作原理,对其进行参数设计和控制方法分析,并搭建了Matlab/Simu...
下面介绍一种利用Keil的软件仿真功能来实现51单片机串口调试用户程序的方法。使用这种方法,无需任何...
提出了一种定频移相控制LLC谐振变换器的数字充电设计方案。在对其拓扑结构原理分析的基础上,完成对主电...
当今汽车行业所面临的挑战与电信行业十多年前所经历的类似。混合动力电动汽车和燃料电池汽车等新技术也促进...
本文提出了一种60GHz CMOS射频芯片嵌入式偶极子天线。在这种射频芯片嵌入式天线的设计中采用了带...
本文通过改进算法对光伏组件内部参数进行了准确辨识,将光伏组件仿真模型和实际环境下的实测数据进行了参数...
随着现在微波链路越来越高频化,小型化,直接在链路中集成低通的现象越来越普遍。同时很多芯片化的低通也大...
电源完整性(PI,PowerIntegrity)就是为板级系统提供一个稳定可靠的电源分配系统(PDS...
只有我们这种中老年人会一把眼泪一把鼻涕的写这段内容。1999年我大学毕业,那个年代学的是FORTRA...
用于5G移动终端的宽带毫米波阵列天线。
电路仿真,顾名思义就是设计好的电路图通过仿真软件进行实时模拟,模拟出实际功能,然后通过其分析改进,从...
本文用传输线等效模型推出双层加载电路板矩形腔体屏蔽效能的计算公式,通过仿真验证了公式的正确性,并得出...
利用模型复现实际系统中发生的本质过程,并通过对系统模型的实验来研究存在的或设计中的系统,又称模拟。这...
机器人自动化项目是一个系统工程,其中设计是前提、是灵魂、是项目工程成败的关键。力生自动化的机器人自动...
本文主要介绍了计数器74LS161的Multisim仿真。74LS161是具有异步置零、计数、预置数...
在高速数字领域,我们常将发射端与接收之间的电气部分称为通道。通道性能是影响信号质量的关键因素之一。我...
本文为大家介绍ELECTRONICS WORKBENCH(EWB)、Multisim 11.0、De...
1、诊断缓冲区:不能支持所有写入诊断缓冲区的错误信息。例如,CPU的电池电量不足的消息或EEPRON...
BUCK 电路是一种降压斩波器,降压变换器输出电压平均值UO 总是小于输入电压Ui。通常电感中的电流...
本文主要介绍了十字路口交通灯控制电路系统的Multisim仿真设计。城市道路车流量大,容易造成“堵车...
我在论坛上写过一个。《如何搭建SoC项目的基本Testbench(我的流程)》,这里挑重要的和有改变...
电池热管理的主要功能包括:电池温度的准确测量和监控;电池组温度过高时的有效散热;低温条件下的快速加热...
本次线下研讨会就会告诉大家如何对过孔进行建模、仿真和设计。本次活动还会教如何计算传输线的阻抗以及过孔...
用8个按键(K0-K7)控制8个发光二极管,每个按键独自控制1个LED的亮灭,当按下K0时,对应D0...
本次我们探讨另外一个在本科阶段让我们头痛的东西,通信原理之必考曲目,拼死也要背下来的内容,基带脉冲成...
modelsim仿真详细过程(功能仿真与时序仿真).ModelSim不仅可以用于数字电路系统设计的功...
安立公司(日在日本)宣布已经开发出来能够测试3G W-CDMA基站和3.5G HSD...
结合案例,将中兴WCDMA的网络仿真结果与试验网路测结果进行对比分析。 分析结果表明,二者的误差可以...
从WLAN到W-CDMA,所有无线设备有一点是共同的,即没有有线连接。通过空气传送的信号会因大气损伤...
IE3D是一个基于全波分析的矩量法电磁场仿真工具,可以解决多层介质环境下的三维金属结构的电流分布问题...
Shin公司的新一代互联网协议(IP)卫星iPSTAR总容量达到了40Gbps,据称是现有卫星容量的...
本文讨论的双G型超宽频手机内置天线,是一款体积小( 长38mm,宽3.5mm,高4mm)。覆盖频段达...
作为旋转导向智能钻井系统核心部件的可控偏心器,其原理是利用电机泵产生推动翼肋伸缩的动力, 当采用电机...
通常情况下,在设计基于FPGA的大型信号处理系统的时候,设计人员往往需要进行费时费力的仿真。以Xil...
在无线网络建设中,最为人们关注、最需要解决的三个问题是覆盖、容量和干扰。从建网初期到工程优化的大部分...
无线网络规划是无线网络建设的基础,规划方案准确与否直接影响了无线网络建成后的质量和后期网络优化的工作...
仿真技术是以相似原理、信息技术、系统技术及其应用领域有关的专业技术为基础,以计算机和各种物理效应设备...
计算机的飞速发展以及电磁场数值分析方法的不断进步,使得电磁兼容性仿真预测对军用装备产品设计的指导意义...
对带有开关电路的2.4 GHz极化分集印刷天线进行电磁场及电磁场与电路协同仿真 通过采用极化分集技术...
1 频率细化过程介绍 频率细化是在信号处理和模态分析中广泛应用的一种技术,它能够提高频率的分辨率,将...
saber仿真软件是美国Synopsys公司的一款EDA软件,被誉为全球最先进的系统仿真软件,是唯一...
Microwave Office使我们能够很容易的在三维电磁工具中针对多种不同 PCB 板材规范(不...
仿真(Simulation),即使用项目模型将特定于某一具体层次的不确定性转化为它们对目标的影响,该...
安捷伦科技公司宣布中国移动研究院采用安捷伦SystemVue系统仿真软件进行绿色无线网络接入网络C-...
随着电视图像处理系统性能的提高,设计人员需要不断采纳新的数字图像处理算法,如何对这些新算法进行评估,...
电调滤波器是宽带微波接收机以及电子对抗系统中的关键部件之一,其性能指标的优劣直接影响整机性能。传统的...
ANSYS(NASDAQ: ANSS)日前宣布推出其业界领先的工程仿真解决方案-ANSYS 15.0...
1、 仿真结构 下面利用传输线理论和FEM-VFM两种方法对一微带线结构的连续传输线(如图1所示)进...
基片集成波导(SIW) 是一种新型的高Q 值、低损耗集成导波结构,易于设计和加工,并 易集成在平板电...
本文将EWB软件应用于实际项目中,设计了一款测温电路,所设计的测温电路没有采用专用的温度传感器和高精...
摘要: 简述一种典型的差分输入差分输出放大电路的设计、仿真和测试方法, 讨论其设计原理及需要解决的问...
本文运用有限元分析软件,对密闭户外机柜及内部设备进行热分析。针对不同结构方式、机柜内部不同流体控制方...
为8V到35V。它的振荡频率可在100HZ到500KHZ的范围内调节。在芯片的CT端和放电端间串联一...
 Modelsim是Mentor公司开发的专业仿真软件,支持VHDL、VerilogHDL和混合仿真...
虽然Modelsim的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法...
在数字电路设计中(Verilog or VHDL),ModelSim是常用的仿真工具。当我们仿真大型...
Ansoft HFSS是Ansoft公司推出的三维电磁仿真软件;是世界上第一个商业化的三维结构电磁场...
微波系统的设计越来越复杂对电路的指标要求越来越高,电路的功能越来越多电路的尺寸要求越做越小而设计周期...
信号完整性设计在产品开发中越来越受到重视,而信号完整性的测试手段种类繁多,有频域,也有时域的,还有一...
随着SERDES应用越来越多,速率也越来越高,SI的问题渐渐变得越来越重要,它对PCB设计,SERD...
随着现场可编程门阵列(FPGA)应用变得越来越庞大和复杂,在进行一个费时的编译过程和使用高保真测试覆...
Multisim 11.0.1软件进一步提升了可编程逻辑器件(PLD)原理图设计仿真与硬件实现一体化...
主要介绍以下七种仿真平台(侧重移动机器人仿真而非机械臂等工业机器人仿真).USARSim-Unifi...
基于模型的设计工作流程让工程师不必进入实验室,在桌面上就能完成设计权衡。 2011 年赛灵思 Zyn...
本系列文章的前几部分介绍了Zynq SDR快速原型开发平台1,说明了利用MATLAB和Simulin...
MATLAB 2017a 已经正式发布,点击左侧蓝色文字可下载试用。作为 R2017a 版本的第一篇...
在我的 Vivado IP Integrator BD 设计中,有一个 MicroBlaze MCS...
DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写,是一...
当电路设计完成后,需要动手去实现一下,测一下每个点的波形,是否和自己预设的一致,去验证自己的设计,这...
所谓“万丈高楼平地起”,说的就是这个道理,想从事信号完整性工作就必须对整个信号完整性的理论基础有一个...
在数字化和智能化发展过程中,电力系统逐渐发展成为由信息系统和物理系统融合构成的信息物理系统(cybe...
摘要:驾驶着进取号电子飞船,从发射区进入充满黑洞的基区,一些同伴被黑洞束缚,一些掳去另一世界,你幸运...
日,上海——楷登电子(美国 Cadence 公司,NASDAQ: CDNS)今日发布...
在我们用ModelSim仿真的时候经常是修改一点一点修改代码,这样会造成一个无奈的操作循环:修改代码...
当ISE调用ModelSim进行仿真的时候,如果在FPGA设计中使用了Xilinx提供的的IP co...
1。我在ISE中启动modelsim时出现了下面的错误 Loading work.tb_ic1_fu...
我们经常使用Xilinx Ise与Modelsim联合仿真,但是经常出现一些由于库没有编译而出现的错...
批命令 A、开始-运行:cmd 在DOS窗口输入:“ compxlib –s mti_se –f a...
虽然Modelsim的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法...
1、代码输入(1)、新建一个ISE工程,名字为count4。(2)、新建一个verilog文件
供应链服务
版权所有 (C) 深圳华强聚丰电子科技有限公司
电信与信息服务业务经营许可证:粤B2-Multisim示波器为什么显示不出波形 - multisim论坛 -
中国电子技术论坛 -
最好最受欢迎电子论坛!
后使用快捷导航没有帐号?
Multisim示波器为什么显示不出波形
21:34:53  
本帖最后由 小鹏不会飞 于
21:36 编辑
[img]file:///C:\Users\hp\Documents\Tencent Files\\Image\C2C\IF6{}B([N7IH47EKA4NF406.png[/img]
附件: 您需要
才可以下载或查看,没有帐号?
高级工程师
20:32:35  
为什么没有示波器面板的截图?
17:21:07  
不知道楼主是如何做的,我这里按照你的接线图,有波形的
Powered by
供应链服务
版权所有 (C) 深圳华强聚丰电子科技有限公司【图文】Multisim11.0仿真教程_百度文库
赠送免券下载特权
10W篇文档免费专享
部分付费文档8折起
每天抽奖多种福利
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
Multisim11.0仿真教程
阅读已结束,下载本文到电脑
想免费下载本文?
登录百度文库,专享文档复制特权,积分每天免费拿!
你可能喜欢能帮我看下下面的multisim仿真图的问题吗?一直出不了波形,以及想知道示波器应该接哪_百度知道
能帮我看下下面的multisim仿真图的问题吗?一直出不了波形,以及想知道示波器应该接哪
注:引脚问题已经改了却仍然无法输出波形,没法换图片有点心痛
我有更好的答案
是出不了波形,多处引脚接线错误,随便百度一个555电路照着连线不信还能接错吗?
我引脚改过来之后还是错了…这是老师给的图片…不好直接网上找大佬能告诉我怎么用一个LM324做三角波,和用555做倍频器吗?
去百度网页自己搜吧,你说的电路都有。
用555施密特触发器和555单稳态构成倍频器怎么弄?一直没找到
看不清,去百度文库下载原文。
采纳率:84%
为您推荐:
其他类似问题
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。

我要回帖

更多关于 multisim 任意波形 的文章

 

随机推荐