使能端断开时,驾照清零时间端能工作吗

西门子move指令 使能端断开赋予的值又归零为什么_百度知道
西门子move指令 使能端断开赋予的值又归零为什么
我有更好的答案
你其他地方被赋值了这个输出。
为您推荐:
其他类似问题
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。网站已改版,请使用新地址访问:
74hc74 带使能和清零端的D触发器,Verilog实现,有实验说明文档。 VHDL-FPGA-
238万源代码下载- www.pudn.com
&文件名称: 74hc74
& & & & &&]
&&所属分类:
&&开发工具: VHDL
&&文件大小: 309 KB
&&上传时间:
&&下载次数: 112
&&提 供 者:
&详细说明:带使能和清零端的D触发器,Verilog实现,有实验说明文档。-With a clear end to enable and D flip-flop, Verilog implementation, there is experimental documentation.
文件列表(点击判断是否您需要的文件,如果是垃圾请在下面评价投诉):
&&74hc74.pdf&&74hc74&&......\component&&......\constraint&&......\coreconsole&&......\designer&&......\........\impl1&&......\........\.....\designer.log&&......\........\.....\d_ff.adb&&......\........\.....\d_ff.dtf&&......\........\.....\........\verify.log&&......\........\.....\d_ff.ide_des&&......\........\.....\d_ff.pdb&&......\........\.....\d_ff.pdb.depends&&......\........\.....\d_ff.tcl&&......\........\.....\d_ff_fp&&......\........\.....\.......\$$FlashPro_07294.L$$&&......\........\.....\.......\d_ff.log&&......\........\.....\.......\d_ff.pro&&......\........\.....\.......\projectData&&......\........\.....\.......\...........\d_ff.pdb&&......\........\.....\simulation&&......\d_ff.prj&&......\hdl&&......\...\d_ff.v&&......\phy_synthesis&&......\simulation&&......\..........\modelsim.ini&&......\..........\modelsim.ini.sav&&......\smartgen&&......\........\smartgen.aws&&......\stimulus&&......\synthesis&&......\.........\backup&&......\.........\......\d_ff.srr&&......\.........\coreip&&......\.........\d_ff.areasrr&&......\.........\d_ff.edn&&......\.........\d_ff.htm&&......\.........\d_ff.map&&......\.........\d_ff.pdc&&......\.........\d_ff.sdf&&......\.........\d_ff.so&&......\.........\d_ff.srd&&......\.........\d_ff.srm&&......\.........\d_ff.srr&&......\.........\d_ff.srs&&......\.........\d_ff.szr&&......\.........\d_ff.tlg&&......\.........\d_ff_sdc.sdc&&......\.........\d_ff_syn.prj&&......\.........\run_options.txt&&......\.........\stdout.log&&......\.........\syntmp&&......\.........\......\d_ff.plg&&......\.........\......\d_ff_flink.htm&&......\.........\......\d_ff_srr.htm&&......\.........\......\d_ff_toc.htm&&......\viewdraw&&......\........\sch&&......\........\sym&&......\........\vf&&......\........\..\project.lst&&......\........\viewdraw.ini&&......\........\wir
&相关搜索:
&&&&&&&&&&
&输入关键字,在本站238万海量源码库中尽情搜索:
&[] - VHDL的D触发器,简明了
&[] - fpga verilog I2c 和 用以DSP mcbsp程序,测试过了
&[] - D触发器的设计
主要用在时序电路中。
所用语言为verilog HDL.周热销排行
用户评论(0)
在此可输入您对该资料的评论~
添加成功至
资料评价: 上传我的文档
 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
正在努力加载中...
PLC定时器的优化设计
下载积分:200
内容提示:PLC定时器的优化设计
文档格式:PDF|
浏览次数:11|
上传日期: 08:56:18|
文档星级:
全文阅读已结束,如果下载本文需要使用
 200 积分
下载此文档
该用户还上传了这些文档
PLC定时器的优化设计
关注微信公众号热门搜索:
硕士/研究生
&&&&&&DOC文档下载
游客快捷下载
会员登录下载
下载资源需要10元
邮箱/手机号:
您支付成功后,系统会自动为您创建此邮箱/手机号的账号,密码跟您输入的邮箱/手机号一致,以方便您下次登录下载和查看订单。注:支付完成后需要自己下载文件,并不会自动发送文件哦!
支付方式:
已注册用户请登录:
当日自动登录&&
&&合作网站一键登录:
1、本站资源不支持迅雷下载,请使用浏览器直接下载(不支持QQ浏览器);
2、文档下载后都不会有金锄头文库的水印,预览文档经过压缩,下载后原文更清晰;
3、所有的PPT和DOC文档都被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;下载前须认真查看,确认无误后再购买;
4、所有文档都是可以预览的,金锄头文库作为内容存储提供商,无法对各卖家所售文档的真实性、完整性、准确性以及专业性等问题提供审核和保证,请慎重购买;
5、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据;
6、如果您还有什么不清楚的,可以点击右侧栏的客服对话;
下载须知 | 常见问题汇总
基于Quartus的多功能数字钟设计
EDA设计(二)基于QUARTUS的多功能数字钟设计院系电光专业通信工程姓名沈丹萍学号指导老师蒋立平时间日2摘要该实验是利用QUARTUSII软件设计一个数字钟,进行试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SMARTSOPC实验系统中进行调试和验证。此外还添加了显示星期,闹钟设定等附加功能,使得设计的数字钟的功能更加完善。关键字QUARTUS数字钟多功能仿真ABSTRACTTHISEXPERIMENTISTODESIGNADIGITALCLOCKWHICHISBASEDONQUARTUSSOFTWAREANDINWHICHMANYBASICFUNCTIONSLIKETIMECOUNTING,HOURCORRECTING,MINUTECORRECTING,RESET,TIMEHOLDINGANDBELLINGONTHEHOURANDTHENVALIDATEDTHEDESIGNONTHEEXPERIMENTALBOARDINADDITION,ADDITIONALFUNCTIONSLIKEDISPLAYINGANDRESETINGTHEWEEKANDSETTINGALARMMAKETHISDIGITALCLOCKAPERFECTONEKEYWORDSQUARTUSDIGITALCLOCKMULTIFUNCTIONSIMULATE3目录1设计要求42工作原理43各模块说明51分频模块52计时模块73动态显示模块94校分与校时模块105清零模块116保持模块117报时模块124扩展模块131星期模块132闹钟模块135总电路的形成166调试、编程下载187实验中出现问题及解决办法188实验收获与感受189参考文献194一、设计要求1设计一个数字计时器,可以完成5959的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等基本功能。2具体要求如下1能进行正常的时、分、秒计时功能,最大计时显示23小时59分59秒。2分别由六个数码管显示时分秒的计时。3K1是系统的使能开关,K10正常工作,K11时钟保持不变。4K2是系统的清零开关,K20正常工作,K21时钟的分、秒全清零。5在数字钟正常工作时可以对数字钟进行快速校时和校分。K3是系统的校分开关,K30正常工作K31时可以快速校分;K4是系统的校时开关,K40正常工作,K41时可以快速校时。3设计提高部分要求1时钟具有整点报时功能,当时钟计到59’53”时开始报时,在59’53”,59’55”,59’57”时报时频率为512HZ,59’59”时报时频率为1KHZ。2星期显示星期显示功能是在数字钟界面显示星期,到计时到24小时时,星期上显示的数据进一位。3闹表设定通过开关切换显示至闹钟界面,利用闹钟校时和校分开关对闹钟时间进行设定,且不影响数字钟计时。当计时到闹钟设定时间蜂鸣器鸣叫。4仿真与验证用QUARTUS软件对设计电路进行功能仿真,并下载到实验板上对其功能进行验证。二、工作原理数字计时器是由计时电路、译码显示电路、脉冲发生电路和控制电路等几部分组成的,控制电路按要求可由校分校时电路、清零电路和保持电路组成。其中,脉冲发生电路将试验箱提供的48MHZ的频率分成电路所需要的频率;计时电路与动态显示电路相连,将时间与星期显示在七段数码管上,并且驱动蜂鸣器整点报时;校时校分电路对时、分、星期提供快速校时;清零电路作用时,系统的分秒时同时归零;保持电路作用时,系统停止计时并保持时间不变。其原理框图如图所示5三、各模块说明1、分频模块分频模块将实验箱提供的48MHZ的频率分频,得到所需的频率。实验中需要1HZ作为时秒、分、时的时钟信号,2HZ作为校分、校时的时钟信号,512HZ、1000HZ作为报时蜂鸣所需频率信号等。(1)二分频2FP波形图如下6(2)三分频3FP波形图如下(3)十分频10FP7波形图如下4分频器总体电路FPQ其中,24FP可由一个3FP和三个2FP级联而成,1000FP可由三个10FP级联而成。2、计时模块计时电路包括秒,分,时,星期四个模块,依次进位。其中秒和分的模块类似,都是一个模六十计数器,时模块是一个模24计数器,而星期则是一个特殊的模7计数器。设计时采用的是同步计数器,它们所接的时钟信号均为1HZ。(1)秒计时模块8MIAO当秒计时至59秒时由四与非门输出一个低电平将秒个位及秒十位置零,同时变换此低电平为高作为进位信号传递给分个位。波形图如下(2)分计时模块FEN9观察可发现其结构与秒计时模块一致,唯一不同的是由于分清零的条件不仅是分计到59,而且秒也要计到59,故清零信号的输入还要添加秒计时模块的输出。波形图与秒计时模块的相类似。(3)时计时模块SHI时计时模块与秒,分模块类似,只是进位信号要设计在23时置零进位,并且要等到秒与分信号都计到59时它才能进位清零,故清零信号的输入还要添加秒和分计时模块的输出。波形图如下3、动态显示模块此模块是用于数码管的动态显示,在本实验中一共需要7个数码管参与显示(秒2位,分2位,时2位,星期1位),所以计数器74161设计为模7的循环,其输出既作为4片74151的控制端,又作为3-8译码器74138的控制端。因为只有一片BCD译码器7447,所以当计数器到某一个数值时,四片74151同时选取对应位的一个输入组成计时器某一位的BCD编码接入显示译码器7447,与此同时根据计数器的数值,74138译码器也从七个显示管的使能端选择对应位有效,从而在实验箱上显现一个有效数据。扫描的频率为几千赫兹,因10为人眼视觉停留的原因,会感觉七个数码管同时显示。原理图如下XIANSHIYIMA24、校分与校时模块(1)校分模块JIAOFEN当K3为0时,校分模块输出1HZ的脉冲供给分计时模块正常计数;当K3为1时,校分模块输出2HZ的脉冲供给分计时模块校分。其中为了防止拨开关时引发的颤动给校分带来影响,在校分模块中加入了消颤的D触发器。由于校分的时候无论秒计时模块是否计到59,都能进行校分,故秒计时模块的输出要11与开关K3相或才能供给校分模块的使能端。(2)校时模块JIAOSHI当K4为0时,校时模块输出1HZ的脉冲供给时计时模块正常计数;当K4为1时,校时模块输出2HZ的脉冲供给时计时模块校分。其中为了防止拨开关时引发的颤动给校时带来影响,在校时模块中加入了消颤的D触发器。由于校时的时候无论秒和分计时模块是否都计到59,都能进行校时,故秒计时模块的输出要与开关K4相或才能供给校分模块的使能端。5、清零模块通过增加一个开关K2来控制计时电路,开关通过非门直接接在每个74160的清零端,当开关断开时,计时器正常工作,当开关闭合时,计时器清零。K20,RD1;K21,RD0。6、保持模块通过开关K1控制秒的使能信号,当秒停止计时,计时器就“保持”了。K10,ENT1;K11,ENT0。127、报时模块当电路计时到59分53,55,57秒时,分别发出一声较低的蜂鸣声;当计时到59分59秒时,发出一声较高的蜂鸣声。需要在某时刻报时,就将该时刻输出为“1”的信号作为触发信号,选通报时脉冲信号进行报时。设计思路设F是报时函数,F1是低音报时函数,F2是高音报时函数。所有函数为高电平时报时。则有F59’53’’F159’55’’F159’57’’F159’59’’F259’51’’(2’’F14’’F16’’F18’’F2)59’51’’2’’F14’’F18’’F2原理图如下BAOSHI13四、扩展模块1、星期模块星期计时关键是实现一个模七的计时循环,这里利用同步十进制计数器74160来实现。星期的跳变需要秒分计到59并且时计到23,即时的进位输出。原理图如下WEEK2、闹钟模块闹钟模块的设计主要需要解决三个问题,分别是闹钟校分校时的控制,显示模块的复用和闹铃在规定的时间响起。(1)闹钟校分校时的控制以2HZ频率来校分和校时,原理图分别如下CFENJISHI14CSHIJISHI用开关K7控制界面,K3和K4控制校分和校时,原理图如下NAOZHONGJIAOSHI(2)显示模块复用用K7控制选择器的输出,当K70时,输出的是计时器的值,K70时切换至闹钟校分校时界面,原理图如下15NAOBIAO其中,32选16的内部电路如下图显示模块的复用是通过设计一个32选16的选择器实现的。由于K7是闹钟模式控制开关,当K7为0时选择正常计数输出给显示模块,当K7为1时,16选择闹钟校时的输出给显示模块显示。这样做的好处是不需要新增显示模块,节约工作量。(3)闹钟定时响起计时输出与闹钟校分校时结果比较NAOBIAOCOMPBEEP其中,COMP模块内部电路闹钟定时响起的条件是计数时间与设定时间一致,这就需要一个比较器来实现,比较器的输出接蜂鸣器,当输入数据相等时比较结果为高电平,从而驱动蜂鸣器。五、总电路的形成(1)计时器电路17JISHIQI该计时器电路中,不仅包含了秒、分、时电路,同时将校分、校时、保持、清零电路一起连入,形成完整的计时器基本电路。(2)总电路18六、调试、编程下载选择“PROCESSINGSTARTCOMPLICATION”进行全编译,编译通过后要进行管脚分配,选择“ASSIGNMENTS?PINS”,在打开的对话框中的“LOCATION”栏中选择相应的管脚填入,并将未用到的管脚置为三态,最后将程序下载到SMARTSOPC实验系统中运行,检验结果是否正确。七、试验中出现的问题及解决办法本次实验中出现遇到了很多问题,主要原因有的是本身思考问题不全面,导致调试时漏洞百出,还有就是设计方法的问题以及作图时不够细致。以下是设计中出现的一些问题及解决方法1分频模块在设计分频模块的时候,由于没有考虑整体功能实现需要多个不同频率的输出,而只把1HZ作为我们的目标,导致后来用到时又返回去增加输出,导致模块的变动,也给整个工程带来了麻烦。2译码显示问题在检验计时输出时,发现分的个位显示乱码,和同学讨论后才知道原来是输出的四位二进制位的顺序倒了,该软件中数组表示时一般高位在前,
本文(基于Quartus的多功能数字钟设计)为本站会员(ninuo1992)主动上传,金锄头文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
若此文所含内容侵犯了您的版权或隐私,请立即阅读金锄头文库的“”【网址:】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!
温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
分享当前资源【基于Quartus的多功能数字钟设计】到朋友圈,您即可以免费下载此资源!
微信扫一扫分享到朋友圈
操作提示:任选上面一个二维码,打开微信,点击“发现”使用“扫一扫”,即可将选择的网页分享到朋友圈
您可能感兴趣的------------------------------------------------------------------------------------------------------
元price_share
&|&川公网安备 12号&|&经营许可证(蜀ICP备号-1)(C) by Sichuan Goldhoe Inc. All Rights Reserved.
&strong>&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>一、&/span>&/strong>&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>本站提供全自助服务,购买后点击下载按钮可以下载到你电脑或手机(系统不会发送文档到您的邮箱),请注意查看下载存放位置;&/span>&/p>&p>&strong>&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>二、&/span>&/strong>&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>本站具有防盗链功能,所以不要使用迅雷、旋风、网际快车等第三方辅助下载工具(不支持&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>QQ浏览器&/span>),否则下载下来的文件只是网页或乱码;&/span>&br/>&/p>&p>&strong>&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>三、&/span>&/strong>&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>由于网络原因、下载知识欠缺、本地电脑&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>或&/span>手机阻止下载等问题无法解决时,需要提供以下&/span>&span style=&font-family: 微软雅黑, &Microsoft YaHei&; color: rgb(255, 0, 0);&>任意一条信息&/span>&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>给我们,我们才能更及时地为你服务:&/span>&br/>&/p>&p>&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>3.1、如果是注册的会员,请告诉我们你的会员账号;&/span>&/p>&p>&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>3.2、如果是游客下载的,请告诉我们你下载时填写的手机或者邮箱;&/span>&/p>&p>&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>3.3、如果是微信或QQ快捷登陆的,请告诉我们你的微信或QQ昵称;&/span>&/p>&p>&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>3.4、如果这些你仍然无法确定,请告诉我们你的付款单号(我们可以通过单号反过来查询你的账号和下载记录)&/span>&a href=&https://www.jinchutou.com/i-93.html& target=&_blank& style=&text-decoration: color: rgb(255, 192, 0); font-family: 微软雅黑, &Microsoft YaHei&;&>&span style=&color: rgb(255, 192, 0); font-family: 微软雅黑, &Microsoft YaHei&;&>看看什么是单号?&/span>&/a>&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>;&/span>&/p>&p>&strong>&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>四、&/span>&/strong>&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>需要下载哪份文档,请发送文档网址,而不是截图,更不要直接把标题给我们;&/span>&br/>&/p>&p>&strong>&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>五、&/span>&/strong>&span style=&font-family: 微软雅黑, &Microsoft YaHei&;&>其它下载常见问题详见:&/span>&a href=&https://www.jinchutou.com/info-0-23-1.html& target=&_blank& style=&font-family: 微软雅黑, &Microsoft YaHei&;&>https://www.jinchutou.com/info-0-23-1.html&/a>&br/>&/p>&p>&br/>&/p>" />
&span id=&_baidu_bookmark_start_2& style=&display: line-height: 0&>?&/span>&span id=&_baidu_bookmark_start_4& style=&display: line-height: 0&>?&/span>&/p>&p>&span style=&font-family: 微软雅黑, Arial, &Times New Roman&; font-size: 14 background-color: rgb(255, 255, 255);&>& & 鉴于本网发布稿件来源广泛、数量较多, 系统审核过程只针对存在明显违法有害内容(如色情、暴力、反动、危害社会治安及公共安全等公安部门明文规定的违法内容)进行处理,难以逐一核准作者身份及核验所发布的内容是否存在侵权事宜, 如果著作权人发现本网已转载或摘编了其拥有著作权的作品或对稿酬有疑议, 请及时与本网联系删除。&/span>&/p>&p>&strong style=&color: rgb(102, 102, 102); font-family: 微软雅黑, Arial, &Times New Roman&; font-size: 14 white-space: background-color: rgb(255, 255, 255);&>& & 侵权处理办法参考版权提示一文:&/strong>&a href=&https://www.jinchutou.com/h-59.html& target=&_blank& textvalue=&https://www.jinchutou.com/h-59.html&>https://www.jinchutou.com/h-59.html&/a>&span style=&color: rgb(102, 102, 102); font-family: 微软雅黑, Arial, &Times New Roman&; font-size: 14 background-color: rgb(255, 255, 255);&>&&/span>&/p>&p>&span style=&color: rgb(102, 102, 102); font-family: 微软雅黑, Arial, &Times New Roman&; font-size: 14 background-color: rgb(255, 255, 255);&>1、如涉及内容过多,需要发送邮箱,请电子邮箱到,我们会及时处理;&/span>&/p>&p>&span style=&color: rgb(102, 102, 102); font-family: 微软雅黑, Arial, &Times New Roman&; font-size: 14 background-color: rgb(255, 255, 255);&>2、系统一旦删除后,文档肯定是不能下载了的,但展示页面缓存需要一段时间才能清空,请耐心等待2-6小时;&/span>&/p>&p>&span style=&color: rgb(102, 102, 102); font-family: 微软雅黑, Arial, &Times New Roman&; font-size: 14 background-color: rgb(255, 255, 255);&>3、请版权所有人(单位)提供最起码的证明(证明版权所有人),以便我们尽快查处上传人;&/span>&/p>&p>&span style=&color: rgb(102, 102, 102); font-family: 微软雅黑, Arial, &Times New Roman&; font-size: 14 background-color: rgb(255, 255, 255);&>4、请文明对话,友好处理;&/span>&/p>&p>&span style=&color: rgb(102, 102, 102); font-family: 微软雅黑, Arial, &Times New Roman&; font-size: 14 background-color: rgb(255, 255, 255);&>5、为了杜绝以前再有类似的侵权事情,可以为我们提供相应的关键字,便于管理人员添加到系统后能有效排除和抵制与您(贵单位)相关版权作品上传;&/span>&/p>&p>&span id=&_baidu_bookmark_end_5& style=&display: line-height: 0&>?&/span>&span id=&_baidu_bookmark_end_3& style=&display: line-height: 0&>?&/span>&/p>" />
&span style=&color: rgb(85, 85, 85); font-family: 微软雅黑; background-color: rgb(255, 255, 255);&>& & 为了维护合法,安定的网络环境,本着开放包容的心态共建共享金锄头文库平台,请各位上传人本着自律和责任心共享发布有价值的文档;本站客服对于上传人服务前,有以下几点可提前参阅:&/span>&/p>&p>&span style=&color: rgb(85, 85, 85); font-family: 微软雅黑; background-color: rgb(255, 255, 255);&>1、本站上传会员收益见:&a href=&https://www.jinchutou.com/h-36.html& target=&_blank&>https://www.jinchutou.com/h-36.html&/a> &/span>&/p>&p>2、本站不会为任何刚注册的上传会员特批解除上传限制,普通会员每天可以上传50份,值班经值会审核其上传内容,请自行观察自己上传的文档哪些在“临时转换中”(审核通过),哪些在审核拒绝中,连续坚持几天都没有任何文档被拒的情况下,根据文档质量和发布分类是否正常等考量合格后值班经理会特批升级会员等级,相应的权益也同时上升。&/p>&p>3、上传人本着友好、合作、共建、共享的原则,请耐心仔细的查看《&a href=&https://www.jinchutou.com/i-143.html& target=&_blank&>违禁作品内容处理规则》;&/a>&a href=&https://www.jinchutou.com/i-143.html& target=&_blank&>https://www.jinchutou.com/i-143.html&/a>&/p>&p>4、上传人可以观注本站公告,查看其它被公示永久封禁的原因&a href=&https://www.jinchutou.com/news-1.html& target=&_blank&>https://www.jinchutou.com/news-1.html&/a>&/p>&p>5、其它问题可以参阅上传常见问题指引:&a href=&https://www.jinchutou.com/info-0-25-1.html& target=&_blank&>https://www.jinchutou.com/info-0-25-1.html&/a>&/p>" />

我要回帖

更多关于 硬盘通电时间清零 的文章

 

随机推荐