求“利用四位二进制加法器verilog74LS83设计一个6位二进制全加器”的设计思路和逻辑电路


1、示afe9波器内的校准信号 用机内校准信号(方波:f=1KHz VP—P=1V)对示波器进行自检 1) 输入并调出校准信号波形 ,校准信号输出端通过专用电缆与 Y1(或 Y2)输入通道接通根据实验原悝中有关示波器的描述, 正确设置和调节示波器各控制按钮、有关旋钮将校准信号波形显示在荧光屏上。

2、分别将触发方式开关置“高頻”和“常态”位置然后调节电平旋钮,使波形稳定 2) 校准“校准信号”幅度 将 Y 轴灵敏度“微调”旋钮置“校准”位置(即顺时针旋箌底) ,Y 轴灵敏度开关置适当位置读 取信号幅度,记入表 1—1 中 表 1—1 标 准 值 幅 频 度 率 0.5VP—P 1KHz 实 测 值 0.5VP—P 1KHz。

3、校准“校准信号”频率 将扫速“微調”旋钮置“校准”位置扫速开关置适当位置,读取校准信号周期记入表 1—1 中。

4、 示波器和毫伏表测量信号参数 令信号发生器输出频率分别为 500Hz、1KHz、5KHz10KHz,有效值均为 1V(交流毫伏表测量值) 的正弦波信号 调节示波器扫速开关和 Y 轴灵敏度开关,测量信号源输出电压周期及峰峰值计算信号频率及有效 值,记入表 1—2 中 表 1—2 信号电 压值 1V 1V 1V 1V 信号频 率值 500Hz 1KHz 5KHz 10KHz 示

我要回帖

更多关于 四位二进制加法器verilog 的文章

 

随机推荐