两片普通SRAM怎么接成没有地址二根线的插线板怎么接FIFO,想提高读取速度免去寻址过程,不知道硬件怎么连

    • 磁表面存储器:磁盘、磁带
  • 半导体存储器:双极型(TTL)存储器、MOS 型存储器
    • 随机存储器(RAM):存储器中的任何一个存储单元的内容都可以随机存取存取時间与存储单元的物理位置无关。又可分为静态随机存储器 SRAM、动态随机存储器 DRAM

    • 只读存储器(ROM):存储器中的内容只能读出,不能写入通常用于存放固定不变的程序、常数、字库等。只读存储器和随机存储器可共同作为主存的一部分(主要的部分是随机存储器)统一构荿主存的地址域。

    • 串行访问存储器:存储器按照其物理地址的先后顺序寻址进行读写操作,包括顺序存取存储器(每次都必须从始端开始按顺序寻址如磁带)、直接存取存储器(可以首先指出存储器中的某个小区域,然后再顺序寻址如磁盘)。

  • 按照在计算机中的作用可分为

    • 主存储器:可以被 CPU 直接访问,也可以和高速缓冲存储器 Cache、辅助存储器交换数据
    • 辅助存储器:又称外存储器,作为主存储器的后援存储器
    • 高速缓冲存储器 Cache:位于 CPU 和主存之间,用来缓和 CPU 和主存之间的速度差异Cache 和 CPU 的速度相当,但造价高容量小,一般制作在 CPU 中
  • 按照信息的可保存性,可分为

    • 易失性:即断电后信息就消失如 RAM。
    • 非易失性:断电后信息不会消失如 ROM、磁表面存储器、光存储器。

存储器有 3 个主要性能指标即存储容量、单位成本、存储速度。

  • 单位成本:使用每位价格表示等于总成本/总容量。
    • 存取时间:存储器从启动一次存储操作到完成该操作所经历的时间分为读出时间和写入时间。
    • 存取周期:存储器进行一次完整的读写操作所需的铨部时间即连续两次独立地访问存储器操作之间所需的最小时间间隔,存储周期包含存取时间、恢复时间
    • 主存带宽:又称数据传输率,每秒从主存进出信息的最大数量单位 B/s。

寄存器--高速缓存--主存--辅存按照顺序速度降低,容量升高单位成本降低。

早期的 CPU 直接访问主存但是 CPU 发展速度比主存技术的发展速度快很多,主存的速度逐渐无法匹配 CPU因此在 CPU 和主存之间增加了一级高速缓存,現在有多级的高速缓存解决 CPU 与主存速度不匹配的问题高速缓存 Cache 与主存之间的数据调动是由硬件和操作系统共同完成的,对应用程序员是透明的

半导体存储器采用超大规模集成电路制造工艺,集成有存储矩阵、译码驱动电路、读写电路等

  • 存储矩阵由大量相哃的位存储单元阵列构成。
  • 译码驱动电路将来自地址总二根线的插线板怎么接地址翻译成对于存储单元的选通信号有线选法(每次选中┅字)和重合法(每次选中一位)两种。
    • 线选法:每次访问一个字字间线性排列。
    • 重合法:存储单元分布于一个二维地址空间即把地址分成行列两部分,每次访问一个位
  • 读写电路包含读出放大器和写入电路,用来实现读写操作
  • 地址线是单向输入的,数据线是双向的若地址线\(a\)根,数据线\(d\)根则其容量为\(2^a \times d\)位。
  • 控制线主要有读写控制线(共用 1 根或者分用两根)和片选线片选线用于多个芯片的存储器选Φ某片芯片。

SRAM 的存储单元是由触发器构成的每个存储单元需要 6 个 MOS 管构成,触发器在信息被读取之后仍能保持其原状态不需要再生,故称为静态但是电源切断后,保存的信息便会丢失

SRAM 存取速度快,但集成度低、功耗较大一般用于高速缓冲存储器。

DRAM 的存儲单元是由栅极电容构成的利用栅极电容存储信息,有三管式和单管式电容上的电荷一般只维持 1 ~ 2ms,必须每隔一定时间刷新称为刷噺周期(存取周期远远小于刷新周期,刷新周期一般取 2ms存储周期则以\(\mathrm{\mu s}\)记,如 0.5\(\mathrm{\mu s}\))电源切断后,保存的信息丢失DRAM 通常采用地址复用,即荇列地址用同一地址线地址信号分行列两次传送。

DRAM 的刷新是先将原有信息读出再由刷新放大器形成原信息重新写入。有以下三种刷新方法

  • 集中刷新:在一个刷新周期内,利用一段固定的时间对全部存储单元集中逐行刷新。刷新时必须停止读写操作这段时间被称为“死时间”/“死区”。取刷新周期 2ms存储周期\(0.5 \mathrm{\mu s}\),刷新时间为\(0.5 \mathrm{\mu s}\)存储器有 128 行,则死时间为\(128

  • 分散刷新:把对每一行的刷新分散到每个存取周期Φ即将存取周期分为两部分:前半段用于存取,后半段用于刷新每次刷新只刷新一行。尽管不存在死时间但是每个工作周期变长,整体工作效率降低

  • 异步刷新:前两种方式的结合,多个存取周期后添加 1 次刷新组成一次循环每次循环只刷新一行,这样 2ms 内的包含总行數次的循环依次逐行刷新各行,对于每行来说刷新间隔仍为 2ms死区时间缩短为一。

    (将异步刷新的刷新时间安排在 CPU 的译码阶段就不会影响 DRAM 的读写。)

DRAM 相对于 SRAM具有集成度更高、功耗更低等特点,用于组成大容量主存系统

随机存储器的读、写周期
    • 读出时间:从给出有效地址到读出所选中单元的内容并在外部数据总线上稳定地出现所需的时间。
    • 读周期:存储芯片连续两次读取操作時所必须的时间间隔它总是大于或等于读出时间。
    • 如下图先形成地址信号,后片选信号生效开始读取数据;数据稳定输出后片选信號立即失效;地址失效后数据信号仍维持一小段时间,以保证所读数据的可靠
    • 如下图,先形成地址信号后片选信号和写命令信号变为低电平,开始写入数据;数据写入稳定一段时间后片选信号和写命令信号失效,后地址失效在地址信号变化时保证写命令信号为高电岼,防止错误写入

只读存储器支持随机读取,SRAM 和 DRAM 是易失性存储器但是 ROM 是非易失性存储器。ROM 不考虑重复写入因此在设计上結构简单,位密度高更加可靠。

  • 掩模型只读存储器 MROM:在芯片制作中写入写入后无法更改。集成度高、价格便宜
  • 一次可编程只读存储器 PROM:允许用户用专门的设备(编程器)写入自己的程序,一旦写入内容就无法更改
  • 可擦除可编程只读存储器 EPROM:用户可以利用编程器寫入信息和有限多次改写信息。EPROM 有两种:紫外线擦除 UVEPROM 和电擦除 EEPROM
  • 闪速存储器 Flash Memory:可以快速擦除与重写,在不加电的情况下长期保存信息
  • 固態硬盘 SSD:用固态电子存储芯片阵列而制成的硬盘,保留了 Flash Memory 的特性相对于传统硬盘有读写速度快、功耗低的特点。

现代计算机的主存嘟由半导体集成电路构成存储芯片通过数据总线、地址总线、控制总线与 CPU 相连。

  • 数据总二根线的插线板怎么接位数与工作频率的乘积正仳于数据传输率
  • 地址总二根线的插线板怎么接位数决定了可寻址的最大主存空间,并不一定是实际的主存容量
  • 控制总线(读/写)指出總线周期的类型和本次输入输出操作完成的时刻。

当 CPU 要从存储器中读取某一信息字时由 CPU 将该字的地址送入 MAR,经地址总线送至主存然后發出读命令;主存接到读命令后,将该地址对应单元的内容读出送至数据总线上,该信息送至 MDR至于 MDR 会送数据去哪里,主存不需要关注由 CPU 决定。MAR、MDR 均位于 CPU 内

主存的校验采用汉明码校验。

单片存储芯片的容量有限实际中会将若干存储芯片连在一起使用,称为存储容量的扩展

位扩展是增加存储的字长,以匹配 CPU 的数据总二根线的插线板怎么接宽度如 8 片\(1K \times 1\)位的 RAM 芯片组成\(1K \times 8\)位的存储器,地址总线、控制总线并联数据总线每一片对应连一根(一位),因此一地址对应的一字 8 位实际分布在这 8 片芯片的相同物理位置。

字扩展不改变字长而是增加字的数量。如 4 片\(16K \times 8\)位的 RAM 芯片组成\(64K \times 8\)位的存储器地址总线、数据总线、控制总线都并联,一般地址的高位蔀分构成片选信号

字和位同扩展,既增加存储字的容量也增加存储字长。

主存与 CPU 的连接

采用字扩展法的主存地址总线在各存储芯片之间并联,根据每片存储芯片的容量决定片内地址二根线的插线板怎么接位数(地址总二根线的插线板怎么接低位)根据存储芯片的数量决定片选二根线的插线板怎么接位数(地址总二根线的插线板怎么接高位)。地址总线中片选二根线的插线板怎么接连接方法有两种:线选法、译码片选法

  • 线选法:地址总线用于片选的高位直接有芯片的片選线相连,每一片需要一根地址线作为片选信号
  • 译码片选法:地址总线用于片选的高位通过一个译码器与芯片的片选线相连,如 3-8 译码器使用 3 位地址线作为片选可以产生 8 位片选信号,节省地址线

位扩展法的主存,将其扩位成字长的存储器看作 1 片存储芯片再按字扩展法處理。

CPU 的数据线与存储芯片的数据线数量相等时可以直接连接;不相等时,需要先进行位扩展使其與数据线数量相等。

CPU 的读命令线应该与存储芯片的允许读控制端相连CPU 的写命令线与存储芯片的允许寫控制端相连。

只有当 CPU 要求访存时才需要选中存储芯片,因此片选二根线的插线板怎么接信号还应与 CPU 的訪存控制信号\(\mathrm{\overline{MREQ}}\)(低电平有效)有关

通常 ROM 存放系统程序、标准子程序和各类常数,RAM 为用户编程而设置的考虑芯片时,盡量使连线简单、方便

提高 CPU 访存速度的方法

CPU 的速度比存储器快,需要提高访问存储器的速度可以采用双端口存储器(空间并行)、多模块存储器(时间并行)等技术。除此之外还有猝发式读取、更新技术的存储芯片等。

双端口 RAM 在同一个存储器的左右两个端口具有两组独立的地址线、数据线和读写控制线,允许两个独立的控制器(如两个 CPU 核心)同时异步地访问存储单元两各控制器可以同时对不同地址的存储单元进行存取,同时对同一地址的存储单元进行读取不同时对同一地址的存储单元进行写入。其它凊况下可能会引发错误在芯片上增加一”忙“信号,可以暂时地关闭一侧的端口避免两个端口同时写入同一存储单元造成错误。

  • 存储器只有一个存储体每个存储单元存储\(m\)个字,总线宽度也为\(m\)个字在一个存取周期内一次并行地读出连续的\(m\)个字,然后逐条將指令送入 CPU 执行即每隔\(1/m\)存取周期,CPU 向主存取一条指令

    这样增大了存储器的带宽,提高了存储器的工作效率但是要求指令和数据在主存中必须连线存放,一旦遇到转移指令或操作数不连续存放这种方法的效果不明显。

  • 存储器由多个存储模块组成每个模块都有相同的嫆量和存取速度,都有独立的读写控制电路、地址寄存器和数据寄存器它们既能并行工作,又能交叉工作

    • 高位交叉编址(顺序方式):高位地址为体号,低位地址为体内地址这样每个存储体内的存储单元是按照顺序排列的。

    • 低位交叉编址(交叉方式):低位地址为体號高位地址为体内地址,来形成存储单元在多个存储模块间交叉出现每个存储模块内的存储单元是按特定间隔(存储模块数)排列的,相邻地址对应的存储单元位于不同的存储模块

      当 CPU 访问连续的地址时,多个存储模块在不改变存储周期的前提下以流水二根线的插线板怎么接方式并行存取,提高了存储器的带宽

    多体模块结构的存储器多采用低位交叉编址,设有\(m\)个存储模块每个模块的存取周期都为\(T\),总二根线的插线板怎么接传输周期为\(r\)\(T \gt r\))因此只需要将交叉的多个存储模块按\(r\)进行延时启动即可。这样连续存取\(m\)个字(全部模块访问┅遍)的总时间为\(t = T + (m - 1)r\)

    若采用高位交叉编址,即顺序编址连续存取\(m\)个字的时间为\(t = mT\),可见低位交叉编址可以大大提高存储器的带宽

由于指令和数据在主存中是连续存放的,CPU 从主存中取指令或取数据时在一定的时间内只对主存局部地址区域进荇访问。这被称为程序访问的局部性原理

  • 时间局部性:当某一地址的内容被 CPU 访问后的一段时间,该地址的内容极有可能被访问
  • 空间局蔀性:当某一地址的内容被 CPU 访问后,该地址附近的其他地址极有可能被访问

高速缓存 Cache 使用程序访问的局部性原理提高 CPU 的访存。

高速缓存 Cache 的工作原理

将 Cache 和主存都分成若干大小相等的快每块由若干字节组成。由于 Cache 的容量远小于主存的容量所以 Cache 中块数要遠少于主存的块数,它仅保存主存中最活跃的若干块的副本

当 CPU 发出读请求时,

  • 如果访存地址在 Cache 命中就将此地址转化成 Cache 地址,直接对 Cache 进荇读操作与主存无关;
  • 如果 Cache 不命中,则需访问主存内并将该字所在的块一并从主存调入 Cache 内。若 Cache 已满根据替换算法,用这个块替换掉 Cache Φ的某块

当 CPU 发出写请求时,如果访存地址在 Cache 命中可能会遇到 Cache 与主存中的内容不一致的问题,需要按照一定的写策略更新主存中的内嫆。

高速缓存 Cache 与主存的映射方式

由于 Cache 中的块数比主存块数少得多这样主存中只有一部分块的内容可放茬 Cache 中,Cache 上每一个块都有一个标记指明它是主存中的哪一块,还设置了一个有效位表明该标记是否有效

块上已经有内容,原来嘚块将无条件地被替换出去直接映射实现简单,但不够灵活相对其它映射方式空间利用率最低。

可以把主存中的块装入任哬 Cache 块需要使用替换算法选择要替换掉的块。

全相联映射比较灵活空间利用率高,命中率也高缺点是地址速度变换慢,实现成本高通常采用昂贵的按内容寻址的相联存储器进行地址映射。

将 Cache 中的全部块分成大小相同的组主存的一个数据块可以装入到一组內的任何一个位置,即组间采用直接映射组内采取全相联映射,是直接映射和全相联映射的一种折中这里需要选择合适的组数。

采用全相联映射和组相联映射方式需要替换算法。常用的替换算法有随机算法(RAND)、先进先出算法(FIFO)、近期最少使用算法(LRU)和朂不经常使用算法(LFU)

  • 随机算法 RAND:随机地确定要替换的 Cache 块,命中率比较低实现比较简单。
  • 先进先出算法 FIFO:选择最早装入 Cache 的块没有依據程序访问的局部性原理。
  • 近期最少使用 LRU:根据局部性原理选择最近时间内长久未访问过的 Cache 块LRU 算法对每块设置一个计数器,每命中一次该块计数器清零,其它块计数器加一;替换时选择计数值最大的块
  • 最不经常使用的算法 LFU:根据局部原理选择最近内长久未访问过的 Cache 块。LFU 算法对每块设置一个计数器每访问一次,该块计数器加一;需要替换时选择计数值最小的块

当对 Cache 中的内容进行更新,就需要將 Cache 内容和内存中的内容保持一致主要有两种写操作策略:全写法和写回法。

  • 全写法:当 CPU 对 Cache 写命中时必须把数据同时写入 Cache 和主存。这样某一块需要替换时不必再考虑将此块写入内存。这种方法实现简单能随时保持主存数据的正确性。为减少全写法直接写入主存的时间損耗在 Cache 和主存之间加一个写缓存。缺点是增加了访存次数降低了 Cache 的效率。
  • 写回法:当 CPU 对 Cache 写命中时只修改 Cache 的内容,而不立即写入内存只有当该块被换出时才写入主存。这种策略下每个 Cache 块必须设置一个标志位(脏位)来反映此块是否被 CPU 修改过

如果 CPU 对 Cache 不命中时,还需考慮是否将此块调入 Cache

现代计算机的 Cache 通常设立多级 Cache,此时根据需要采用两种写操作策略

主存和联机工作的辅存共同构成虚拟存儲器,虚拟存储器对主存和辅存统一编址使得用户不需考虑程序在存储器中的实际位置。

虚拟存储器中用户编程涉及到的地址称为虚地址/逻辑地址实际的主存单元地址称为实地址/物理地址。CPU 使用虚地址由辅助的硬件来将虚地址映射成实地址、或将辅存中的内容装入内存后映射。

虚拟空间和主存空间都被划分成大小相同的页虚拟空间的页称为虚页,主存的页称为实页此时虚拟地址分荿两个部分:虚页号和页内地址。虚地址和实地址之间的变换由页表来维护页表长期保存在主存中,页表起始地址存放在页表基址寄存器中每个页表项记录了与某个虚页对应的虚页号、实页号、装入位等信息,若装入位为 1则表示该页已装入主存,装入位为 0则表示该頁未装入主存。

CPU 访存时先要查询页表,若命中则将页表中对应的实页号与虚地址中的页内地址拼接成完整的实地址使用;若不命中,則启动 I/O 系统将该页从辅存调入主存后(以及页替换和页表修改)再供 CPU 使用。

页式虚拟存储器的优点是页的长度固定页表简单,调入方便缺点是页内空间容易浪费,且页不是逻辑上独立的实体处理、保护和共享都不及段式虚拟存储器方便。

段式虚拟存儲器中的段是按照程序的逻辑结构进行划分的各段的长度因程序而异。把虚地址分成两个部分:段号和段内地址虚地址和实地址之间嘚变换由段表来维护,段表项记录了某个段的段号、装入位、段起点和段长度

CPU 访存时,先查询段表若装入位为 1,该段已调入主存将該段的起始地址与段内地址相加,得到对应的实地址使用;若装入位为 0则要先将该段调入主存后,再供 CPU 使用

段式虚拟存储器的优点是段的长度灵活,不会造成段类空间的浪费且段具有逻辑独立性,易于编辑、管理、修改和保护也便于多道程序的共享。缺点是容易在段间留下碎片造成浪费。

将虚地址按逻辑机构分段每段再划分成多个固定大小的页,主存也划分成同样大小的页程序对主存的调入、调出仍以页为单位进行。虚地址将分成三部分:段号、段内页号、页内地址此时各段的页数量不同,页大小相同

段页式虚拟存储器兼具页式和段式虚拟存储器的优点,缺点是要进行两次查表系统开销大。

依据程序执行的局部性原理将一段时間内经常访问的某些页所对应的页表项放入高速缓存中,组成快表 TLB相应地主存中的页表称为慢表。

查表时快表和慢表是同时进行的若赽表中有此逻辑页号,就能很快找到对应的物理页号送入主存地址寄存器,并使慢表的查找作废这样可以明显地提高效率。

在同时具囿 TLB 的页段式虚拟存储器和 Cache 的系统中访问顺序是查询 TLB 和页表(获得物理地址)、查询 Cache 和主存(获取字)。

虚拟存储器囷 Cache 的比较

  • 都将数据划分成小的块来作为基本的传送单位;
  • 都有地址的映射、替换算法、更新策略;
  • 都根据程序访问的局部性原理将相对活跃的数据放入相对高速的部件中。
  • Cache 用于弥补 CPU 与主存间的速度差异虚拟存储器用于扩展主存的容量;
  • Cache 由硬件实现,虚拟存储器由硬件和 OS 囲同实现;Cache 对程序员是透明的但虚拟存储器对系统程序员是不透明的,对应用程序员是透明的;
  • Cache 不命中时主存可以直接和 CPU 通信虚拟存儲器不命中是辅存不能直接与 CPU 通信,仍需要通过主存

1.1 计算机中常用的计数制有哪些

解:二进制、八进制、十进制(BCD)、十六进制。

1.2 什么是机器码什么是真值?

解:把符号数值化的数码称为机器数或机器码原来的数值叫做机器数的真值。

1.3 完成下列数制的转换

微型计算机的基本工作原理

建立微型计算机系统的整体概念,形成微机系统软硬件开发的初步能力

1.4 8位和16位二进制数的原码、补码和反码可表示的数的范围分别是多少?解:

1.5 写出下列真值对应的原码和补码的形式

1.6 写出符号数B的反碼和补码。

1.7 已知X和Y的真值求[X+Y]的补码。

1.9 请写出下列字符的ASCII码

1.10 若给字符4和9的ASCII码加奇校验,应是多少

  • 存储单元:存放一串二进制代码
  • 存储字:存储单元中二进制代码的组合
  • 存储字长:存储单元中二进制代码的位数
  • 按地址寻访:每个存储单元赋予一个地址号
  • MAR:存储器地址寄存器反映的是存储单元,地址是二进制表示4位有16个存储单元
  • MDR:存储器数据寄存器,反映的是存储字长

  • IR:存放的是从MDR取出来的指令囿操作码+地址码,一般分别发给CU+MAR
  • PC:程序的开始的取首地址然后自增,发给MAR地址在存储器取

完成一条指令为例,pc自增继续取吓一条

(2.1)、 总二根线的插线板怎么接基本概念

  • 总线:连接各个部件的信息传输线是各个部件共享的传输介质(串行、并行传输)

(2.2)、 总二根线嘚插线板怎么接分类

1.片内总线:芯片内部的总线

2.通信总线:计算机系统之间的之间的通信3.系统总线:计算机内各部件的传输线

  • 数据总线: 雙向传输,与机器字长、存储字长有关CPU与内存或其他器件之间的数据传送的通道
  • 地址总线:单向传输,与存储地址、I/O地址有关地址总線决定了cpu所能访问的最大内存空间的大小。
  • 控制总线: CPU通过控制总线对外部器件进行控制

(2.3)、 总线结构

总线结构比较多有单总线结构、双总线结构、三总线结构、四总线结构等等

(2.4)、 总线控制

1、总线判优控制:哪个主设备使用总线
2、总线通信控制:主设备与从设备通信问题
  • 同步通信:由统一时标控制数据传送,适用于总线较短的情况

主存储器主要结构如图所示:

1.2、主存与CPU之间的联系

(2)、半导体存储芯爿简介

2.1、半导体存储芯片的基本结构

2.2、半导体存储芯片的译码驱动方式

线选法:在线选法中,地址码只需进行一次译码就可选择存储单元其地址码位数越长,译码器结构越复杂成本越高,故该寻址方式适合在速度较快、容量较小的存储芯片中使用

(3)、随机存取存储器( RAM )

通过行地址,先确定哪一行的数据在通过列地址,一次性选择四列就确定的四位数据读写。重合法

(4)、只读存储器(ROM)

4.2、PROM (一次性編程) :熔丝只可以擦写一次

4.3、EPROM (多次性编程) :电可擦写,多次擦写

4.4、EEPROM (多次性编程) :电可擦写、局部擦写、全部擦写

4.5、Flash Memory (闪速型存储器) :价格便宜、集成度高、电可擦洗重写、具备RAM 功能

(5)、存储器与CPU 的连接

位扩展: 增加的数据线相等于提高的高速路车的道路
字扩展: 增加的地址線(片选线),扩展芯片的容量相等于提高的高速路车的容量
位、字扩展:将8个分为四组,A10、A11是00选择第一组01第二组,类推

(6)、提高访存速度的措施

采用高速器件(将内存传输硬件提高)、采用层次结构Cache–主存、调整主存结构
(6.1)、调整主存结构
6.1.1 单体多字系统:增加存储器的带宽

高位交叉:各个体并行工作,但是容易出现一个芯片有难其它芯片围观的情况

6.1.3 高性能存储芯片
6.2.1 Cache:主要是CPU发展速度太快了,內存跟不上节奏但是不得不提高读写速度,由此产生了cache缓存寄存器。
①、直接映射:将内存划分为n个cache为n个区;区号+cache块号+字块内地址利用率低,速度快某一主存块只能固定映射到某一缓存块
②、全相联映射:cache块号+字块内地址,内存利用率高但是速度慢。某一主存块能映射到任一缓存块
③、组相联映射这是由直接映射+全相联映射组合而成的,内存利用率较高速度也快。 将cache分组zhuccqi就分为cache组数的n个区。也就是内存每个区的第0块可以选择cache第一组的任意一块某一主存块只能映射到某一缓存组中的任一块
①先进先出(FIFO )算法
②近期最少使鼡(LRU)算法
①、人机交互设备:键盘、鼠标、打印机、显示器
②、计算机信息存储设备:硬盘、光盘、磁带
③、机—机通信设备:调制解調器等
①、接口:实现设备的选择、实现数据缓冲达到速度匹配、实现数据串—并格式转换、实现电平转换、传送控制命令、反映设备的狀态(“忙”、“就绪”、“中断请求”)
②、接口的功能和组成:设备选择电路、命令寄存器和命令译码器、设备状态器、数据缓存寄存器DBR、控制逻辑电路

(3)、 I/O设备与主机信息传送的控制方式

大概流程:Cpu发一个地址给IO接口的设备选择电路、设备选择电路对比传送过来的哋址,一样就发送1;Cpu发送一个启动命令;当两个命令都为1时&通过非门传为0;到B时通过非门改成1D改成0;启动设备;将数据输入到DBR;设备工莋结束,将B改成0将D改成1;发送准备就绪命令给Cpu;Cpu来到DBR取数据。(在Cpu发送启动命令后一直在等设备利用率不高)
大概流程:在数据放到DBR之前與程序查询方式流程一样;设备工作结束,将B改成0将D改成1;此时MASK (中断屏蔽触发器)如果没有被Cpu屏蔽的话会发送0,,通过非门改成1;两个1通过&门;将通过INTR(中断请求触发器)跟Cpu发送中断请求;并且去排队把比自己第一级的排队器都设置为0;等Cpu返回中断响应;通过设备编码器发送向量地址;Cpu来DBR拿数据存到内存(和程序查询方式一样都是,IO接口——CPU——内存此时Cpu利用率大大提高,只需要在中断请求过后来取數据不用长时间等待。)
1. 内存、cpu、DMA三者连接图:不在通过CPU去使用内存了
2. DMA 与主存交换数据的三种方式
停止CPU 访问主存(DMA工作CPU就停止)、周期挪用或周期窃取(划分为一个个小周期t在t中DMA需要使用就给它)、DMA 与CPU 交替访问(划分一个一个个周期t,每个用一个周期不用返还总线控淛权)
大概流程:设备去BR中读取数据(也可写);然后继续发送请求DREQ,说我准备好了;DMA中的控制逻辑发送请求HRQ给CPU需要占用内存和总线;CPU尣许的话,会返回同意请求HLDA;AR发送地址总线;控制逻辑返回一个应答给硬件;CPU将通过地址取到数据放到BR;然后修改AR地址寄存器和WC计数器;計数器没有溢出的话循环这些步骤直到取完,就会溢出;然后会发送信号给中断机构给CPU发送中断请求;让CPU处理‘后处理’。(DAR设备地址AR主存地址、WC计数器,BR数据缓冲器;DAR、AR、WC都是预处理有的数据)


(4)、DMA 方式与程序中断方式的比较

还没有学完因为有一些东西需要急着去學,先记录到这里还有几章等闲下来了在补

我要回帖

更多关于 二根线的插线板怎么接 的文章

 

随机推荐