自己设计图人偶写法的莹字写法

莹字在佛教中怎么写_百度知道
莹字在佛教中怎么写
您的回答被采纳后将获得:
系统奖励20(财富值+经验值)+难题奖励30(财富值+经验值)
我有更好的答案
你是指梵文吧,这个只能用拼音转译
楼主的意思是说 莹字是佛教中很特别的字吗。
其他类似问题
为您推荐:
佛教的相关知识
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁1245人阅读
数字集成电路(19)
引言之前,我们介绍了数字设计中一些基本组合逻辑的写法(http://blog.csdn.net/rill_zhen/article/details/)以及状态机的写法(http://blog.csdn.net/rill_zhen/article/details/),本小节我们通过一个小实验来熟悉一下pipeline的写法。在多数的资料和教课书中提到pipeline时,大多只是解释概念,很少介绍其具体RTL实现的,给人一种高达上的感觉。有的资料中会提到具体写法,但大多采用pipe_ready、pipe_hold信号来控制流水线的暂停和继续。那种写法在流水线较简单时还比较容易,一旦流水线变得复杂,尤其是有些stage还包含子流水线时,pipe_ready/pipe_hold的逻辑就变得很杂乱,以致容易出错。本小节我们介绍另外一种写法,将valid/ready协议和pipeline结合在一起。关于valid/ready协议,我们之前已经介绍过了,请参考(http://blog.csdn.net/rill_zhen/article/details/)。将每个stage都解耦合,认为是独立的逻辑单元,所有stage只和自己的上一级和下一级交互,并且采用valid/ready握手协议进一步解耦合。这样设计的pipeline,不仅界限清晰,而且接口简单,耦合度低。便于以后的扩展,debug起来也很容易定位问题。1,代码清单下面我们设计一个3级全流水模块,采用上述思路进行编码。pipeline.v:/*
* pipeline example
module Mpipeline
input clk,
input rst_n,
input en_i,
input [7:0] data_i,
output en_o,
output [7:0] data_o,
output idle
wire rdy_pb2
wire vld_pa2
wire [7:0] data_pa2
wire rdy_pc2
wire vld_pb2
wire [7:0] data_pb2
.clk (clk),
.rst_n (rst_n),
.valid_i (en_i),
.data_i (data_i),
.ready_i (rdy_pb2pa),
.ready_o (rdy_pa),
.valid_o (vld_pa2pb),
.data_o (data_pa2pb)
.clk (clk),
.rst_n (rst_n),
.valid_i (vld_pa2pb),
.data_i (data_pa2pb),
.ready_i (rdy_pc2pb),
.ready_o (rdy_pb2pa),
.valid_o (vld_pb2pc),
.data_o (data_pb2pc)
.clk (clk),
.rst_n (rst_n),
.valid_i (vld_pb2pc),
.data_i (data_pb2pc),
.ready_i (1'b1),
.ready_o (rdy_pc2pb),
.valid_o (en_o),
.data_o (data_o)
assign idle = ~vld_pa2pb & ~vld_pb2pc & ~en_o;
module Mpa
input clk,
input rst_n,
valid_i, //from pre-stage
input [7:0]
data_i, //from pre-stage
ready_i, //from post-stage
ready_o,//to pre-stage
valid_o, //to post-stage
output [7:0] data_o //to post-stage
valid_o_r;
wire [7:0]
assign calc = data_i + 1'b1;
always @(posedge clk)
if(~rst_n)
valid_o_r &= 1'b0;
else if(valid_i)
valid_o_r &= 1'b1;
else if(~valid_i)
valid_o_r &= 1'b0;
always @(posedge clk)
if(~rst_n)
data_o_r &= 8'b0;
else if(valid_i)
data_o_r &=
assign ready_o = ready_i;
assign valid_o = valid_o_r;
assign data_o = data_o_r;
module Mpb
input clk,
input rst_n,
valid_i, //from pre-stage
input [7:0]
data_i, //from pre-stage
ready_i, //from post-stage
ready_o,//to pre-stage
valid_o, //to post-stage
output [7:0] data_o //to post-stage
valid_o_r;
wire [7:0]
assign calc = data_i && 1'b1;
always @(posedge clk)
if(~rst_n)
valid_o_r &= 1'b0;
else if(valid_i)
valid_o_r &= 1'b1;
else if(~valid_i)
valid_o_r &= 1'b0;
always @(posedge clk)
if(~rst_n)
data_o_r &= 8'b0;
else if(valid_i)
data_o_r &=
assign ready_o = ready_i;
assign valid_o = valid_o_r;
assign data_o = data_o_r;
module Mpc
input clk,
input rst_n,
valid_i, //from pre-stage
input [7:0]
data_i, //from pre-stage
ready_i, //from post-stage
ready_o,//to pre-stage
valid_o, //to post-stage
output [7:0] data_o //to post-stage
valid_o_r;
wire [7:0]
assign calc = data_i - 1'b1;
always @(posedge clk)
if(~rst_n)
valid_o_r &= 1'b0;
else if(valid_i)
valid_o_r &= 1'b1;
else if(~valid_i)
valid_o_r &= 1'b0;
always @(posedge clk)
if(~rst_n)
data_o_r &= 8'b0;
else if(valid_i)
data_o_r &=
assign ready_o = ready_i;
assign valid_o = valid_o_r;
assign data_o = data_o_r;
endmoduletb.v:/*
* pipeline example
reg rst_n;
reg en_i_r;
reg [7:0] data_i_r;
wire en_o;
wire [7:0] data_o;
Mpipeline pipeline
.clk (clk),
.rst_n (rst_n),
.en_i (en_i_r),
.data_i (data_i_r),
.en_o (en_o),
.data_o (data_o),
.idle (idle)
clk = 1'b0;
rst_n = 1'b0;
en_i_r = 1'b0;
data_i_r = 8'b0;
forever #5 clk = ~
repeat(10) @(posedge clk);
rst_n = 1'b1;
repeat(10) @(posedge clk);
@(posedge clk);
en_i_r &= 1'b1;
data_i_r &= 8'h1;
@(posedge clk);
en_i_r &= 1'b1;
data_i_r &= 8'h2;
@(posedge clk);
en_i_r &= 1'b1;
data_i_r &= 8'h3;
@(posedge clk);
en_i_r &= 1'b1;
data_i_r &= 8'h4;
@(posedge clk);
en_i_r &= 1'b0;
data_i_r &= 8'h0;
repeat(10) @(posedge clk);
$finish();
2,脚本pp.sh#! /bin/bash
# usage: ./pp.sh c/w/r
# Rill create
TOP_MODULE=Ttb
export SRC_DIR=$(pwd)
tcl_file=run.tcl
if [ $# != 1 ];then
echo &args must be c/w/r&
if [ $1 == &c& ]; then
echo &compile lib...&
ncvlog -f ./vflist -sv -update -LINEDEBUG;
ncelab -delay_mode zero -access +rwc -timescale 1ns/10ps ${TOP_MODULE}
if [ -e ${tcl_file} ];then
rm ${tcl_file} -f
touch ${tcl_file}
if [ $1 == &w& ];then
echo &open wave...&
echo &database -open waves -into waves.shm -& && ${tcl_file}
echo &probe -shm -variable -all -& && ${tcl_file}
echo &run& && ${tcl_file}
echo &exit& && ${tcl_file}
if [ $1 == &w& -o $1 == &r& ];then
echo &sim start...&
${TOP_MODULE} -input ${tcl_file}
echo &$(date) sim done!&
vflist:-incdir ${SRC_DIR}
${SRC_DIR}/pipeline.v
${SRC_DIR}/tb.v
3,仿真结果4,扩展上面是一个全流水pipeline,所以所有stage的valid只要有输入就会拉高,ready信号只要下一stage的ready有效就拉高(能接收新数据)。在实际的工作中,将calc信号换成组合逻辑云,正确处理valid_o和ready_o,即可。5,小结不知道pipeline还有没有更好的写法。
参考知识库
* 以上用户言论只代表其个人观点,不代表CSDN网站的观点或立场
访问:483665次
积分:10257
积分:10257
排名:第931名
原创:134篇
转载:23篇
评论:465条
文章:66篇
阅读:184125
(1)(3)(1)(1)(1)(3)(1)(6)(5)(3)(2)(4)(4)(5)(3)(9)(8)(7)(3)(3)(16)(1)(3)(2)(12)(7)(5)(11)(6)(10)(3)(10)(1)帮我设计一个带莹字一个带涛字的网名谢谢咯_百度知道
帮我设计一个带莹字一个带涛字的网名谢谢咯
提问者采纳
涛涛海水×恋上晶莹的砖石×
其他类似问题
为您推荐:
网名的相关知识
其他3条回答
莹♀的、涛♂の爱(赢得涛的爱)
参考资料:
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁请教“莹”字的篆书写法-------------不是繁体啊
给你个网站 你直接输上你要的字就可以找到想要得篆体 /shufa/index.asp
希望对你能有帮助
为您推荐:
这就是你要的篆书“莹”
扫描下载二维码每次最多查询12个汉字;若要屏蔽广告,请点此进入: 。
正在分析中,请稍后..
《莹》字笔画、笔顺
横、竖、竖、点、横撇/横钩、横 更多: 、横、竖、横、点、
最新查询:
随机推荐查询工具
CopyRight &
All Rights Reserved

我要回帖

更多关于 dnf设计图人偶写法 的文章

 

随机推荐