求问大佬们能推荐systemc基础教程或者systemc入门的电子书籍吗,或者相关学习书籍也可以。叩谢哈!

SystemC基础知识整理
eetop systemC一些问题
可综合问题:
ASIC的设计发展是:直接画版图-&Gate级设计-&RTL级设计。其主要原因是设计规模的增加,当ASIC超过1亿门规模时,RTL设计难以满足,业界推介使用ESL设计。SystemC语言是业界公认的最适合ESL设计的语言。你可以参考ESL相关资料。虽然目前ESL发展不成熟,但这是发展趋势。ST、三星、高通等就有ESL设计成功的ASIC,可以查查相关资料。
验证问题:
我对系统级验证理解是解决部分在模块级验证无法涉及的功能,如连线、多模块协调、全局时钟和电源管理、总线验证等。系统验证还是有一定的必要。软硬件协同验证还是很有必要的,尤其是可以大幅度加速软件开发时间,对整个项目时间控制有利。至于楼主说的一边搭平台一边写硬件代码,写好一部分立即拿到平台上进行验证,我也遇到多次,都是因为项目时间太紧张,不得已为之。
发展问题:
SystemC的资料还是很多的,前面说到SystemC优势在于ESL,SV的优势在于验证。而目前业界尤其是国内,ESL发展很不成熟,自然显得SystemC比SV冷门。
仿真问题:
SystemC和SV我都是用VCS编译的,感觉SystemC是要麻烦点,但还是可以接受。
继承问题:
建议去读源代码,即使是SV,虽说有很多方法学支持,且文档也很多,但要真正理解还是要仔细去理解源代码。
verilog与SystemC的等效性
1.基本结构等效性
<font COLOR="#)VerilogHDL的基本组成结构是模块module,对应的SystemC描述是sc_module。
<font COLOR="#)与模块之间相联系的是端口信号的定义。Verilog的输出信号也可以作为输入,而SystemC的端口定义了数据转移的方向,所以对于同样作为输入的VerilogHDL输出信号output,在SystemC中必须定义为inout。
3)VerilogHDL不支持浮点型的输出,而SystemC则支持,这在VerilogHDL到SystemC转换的时候不会产生问题,反之则不可以
4)对于VerilogHDL中的连续赋值语句assign和always语句,都对应于SystemC的进程process
5)SytemC的进程有3种:方法进程(SC_METHOD)、线程(SC_THREAD)和钟控线程(SC_CTHREAD)
方法进程用来进行组合逻辑模拟,线程可用来模拟测试平台,而钟控线程用来模拟同步有限状态机。后两种都是行为模型
,至少需要行为级综合工具才能综合。由于方法进程可以用来描述寄存器传输级电路,一般将Verilog HDL
中的assign和always语句翻译成方法进程
6)对于一个always语句中的所有阻塞赋值语句,应该映射到一个SystemC进程中。对于不同变量的非阻塞赋值,应该映射为不同的SystemC进程
HDL的initial语句等效于SystemC进程的构造函数
8)parameter可以用SystemC的类模板实现
9)对于子模块的例化,Verilog HDL和SystemC都支持端口对应和位置映射
2.时间模型的等效性
1)在Verilog HDL中没有专门的时钟,用户需要定义一个reg信号来模拟时钟,SystemC中则直接定义了结构sc_clock
用于定义时钟
2)VerilogHDL中,用timescale定义缺省的时间单位,在SystemC中使用了sc_set_time_resolution()和
sc_set_default_time_unit(),两者等效&
3.等待(wait)与事件(event)的等效性
wait与event都是为了支持行为及建模。在SystemC中,wait()只能在线程或者钟控线程内使用,在方法进程内须用与wait()等效的函数next-trigger()
4.仿真调度模型的等效性
&仿真调度模型(Scheduler)涉及到代码如何执行 ,理解它对于VerilogHDL到SystemC的代码转换有重要意义.
为了支持寄存器传输级的并行描述,SystemC采用了与Verilog HDL基本相同的调度模型--基于Δ(delta)延迟。 一个Δ周期包括求值和更新两个阶段,在一个时间点上 ,这样的Δ周期会持续出现,直到在求值前后的结果不再发生变化 ,而在宏观上 ,时间并没有前进。SystemC基于C++,构造函数先于其他成员函数执行。
CystemC与C++/C
1.C/C++建模
1)手动从C++转为verilog,容易出错
2)C模块与verilog模块缺少联系
3)不同的测试平台,时间长
2.SystemC建模
1)精炼的设计方法
2)单一语言书写
已投稿到:
以上网友发言只代表其个人观点,不代表新浪网的观点或立场。IEEE发布语言参考手册,SystemC标准化更进一步
Mike Santarini
打开微信“扫一扫”,打开网页后点击屏幕右上角分享按钮
1.扫描左侧二维码
2.点击右上角的分享按钮
3.选择分享给朋友
IEEE最近宣布已开始制定SystemC语言参考手册(LRM),使得SystemC朝成为标准的目标又迈进了一步。SystemC LRM最初由Open SystemC Initiative(OSCI)开发,该组织于2003年6月宣称有意将该语言转交给IEEE。如今,IEEE已经正式接受并公布了IEEE P16666标准,该标准经过评估并标准化,成为SystemC LRM。OSCI的一位发言人表示,通过标准化LRM,工具供应商能够开发符合该语言标准格式的工具。该语言本身的标准化尚需经IEEE,但P16666是首项举措。新标准由IEEE标准协会公司项目及IEEE计算机协会的设计自动化委员会发起,定于12到15个月内出台。SystemC语言及其概念验证的开放源实现可从OSCI网站下载。
您的昵称:
美国的游客
(您将以游客身份发表,请 | )
Open是什么?
Open,Open是什么? 通过电子工程专辑网站专业编辑提供Open的最新相关信息,掌握最新的Open的最新行业动态资讯、技术文萃、电子资料,帮助电子工程师自我提升的电子技术平台.
SystemC是什么?
SystemC,SystemC是什么? 通过电子工程专辑网站专业编辑提供SystemC的最新相关信息,掌握最新的SystemC的最新行业动态资讯、技术文萃、电子资料,帮助电子工程师自我提升的电子技术平台.
open是什么?
open,open是什么? 通过电子工程专辑网站专业编辑提供open的最新相关信息,掌握最新的open的最新行业动态资讯、技术文萃、电子资料,帮助电子工程师自我提升的电子技术平台.
systemC是什么?
systemC,systemC是什么? 通过电子工程专辑网站专业编辑提供systemC的最新相关信息,掌握最新的systemC的最新行业动态资讯、技术文萃、电子资料,帮助电子工程师自我提升的电子技术平台.
systemc是什么?
systemc,systemc是什么? 通过电子工程专辑网站专业编辑提供systemc的最新相关信息,掌握最新的systemc的最新行业动态资讯、技术文萃、电子资料,帮助电子工程师自我提升的电子技术平台.
新添订阅功能,提供全面快捷的资讯服务!
关注电子工程专辑微信
扫描以下二维码或添加微信号“eet-china”
访问电子工程专辑手机网站
随时把握电子产业动态,请扫描以下二维码
5G网络在提供1Gbps至10Gbps吞吐量方面具有很好的前途, 并且功耗要求比今天的网络和手机都要低,同时还能为关键应用提供严格的延时性能。本期封面故事将会与您分享5G的关键技术发展,以及在4G网络上有怎样的进步。
新版社区已上线,旧版论坛、博客将停用
1、为防数据丢失,旧版论坛、博客不再接受发帖;
2、老用户只需重设密码,即可直接登录新平台;
3、新版博客将于8月底完美归来,敬请期待;
4、全新论坛、问答,体验升级、手机阅读更方便。
推荐到论坛,赢取4积分SystemC电子系统级设计(李挥,陈曦)【电子书籍下载 epub txt pdf doc 】
书籍作者:
李挥,陈曦
书籍出版:
科学出版社
书籍页数:
书籍ISBN:
书籍人气:
推荐指数:
SystemC电子系统级设计《SystemC电子系统级设计》重点讲述了IEEE P SystemC语法(模块、端口、信号、进程、基本数据类型、定点数据类型、波形跟踪、接口、端口、导出端口和通道、动态进程)、事务处理级建模库TLM 2.0(TLM2.o核心接口、发起者和目标套接字、通用净核和基础协议、实用工具、分析接口和端口)、验证库1.0(SystemC的验证库,包括验证思想、基于事务的验证方法、随机化、约束的随机数的产生、加权随机数产生)和SystemC的电子系统级综合技术(算法综合、SystemC行为综合和SystemC体系结构综合),并给出了SystemC的应用实例。  《SystemC电子系统级设计》可作为电子工程技术人员学习SystemC设计、应用、开发的技术参考书,也可供高等院校电子及其相关专业的广大师生阅读。第1章 前言1.1 为什么要发展新的设计和验证语言1.2 SystemC的历史1.3 SystemC的本质1.4 SystemC的核心价值1.5 虚拟原型1.6 ESL设计流程1.7 事务处理级建模——ESL的关键1.8 一个“Hello,SystemC!”建模实例1.9 一个二输入与非门建模实例1.10 本章小结1.11 习题第2章 systemC基本语法2.1 从一个典型的SystemC设计开始2.2 SystemC头文件2.3 模块2.4 端口和信号2.5 SystemC时钟和时间模型2.6 基本数据类型2.7 定点数据类型2.8 进 程2.9 仿真与波形跟踪2.10 SystemC信息和差错报告机制2.11 SystemC中的一些杂散内容2.12 本章小结2.13 习 题第3章 systemc行为建模语法3.1 什么是TLM3.2 TLM相关语法3.3 接口3.4 端口3.5 通道基础3.6 基本通道3.7 分层通道3.8 动态创建进程3.9 系统建模中的分层模型3.10 SystemC的事务处理级建模初步3.11 通信细化3.12 本章小结3.13 习题第4章 systemC事务处理级建模库4.1 TLM2.0基本概念4.2 通用净核类4.3 阻塞传送接口4.4 非阻塞传送接口4.5 直接存储器接口4.6 调试传送接口4.7 合并的传送接口4.8 发起者和目标套接字4.9 预定义的套接字4.10 全局量子时间和量子看守者4.11 一个松散定时目标模块建模实例4.12 本章小结4.13 习题第5章 systemc验证库5.1 SystemC验证库概述5.2 常用术语5.3 基于事务的验证5.4 数据内查5.5 约束的随机化5.6 变量和事务记录5.7 SCV标准的其他内容5.8 本章小结5.9 习题第6章 systemc综合6.1 基于C的高层次综合6.2 SystemC行为综合6.3 SystemC体系结构综合介绍6.4 SystemC优化的进程阵列6.5 基于SOTA的SystemC体系结构综合6.6 SystemC体系结构综合案例6.7 本章小结6.8 习题第7章 systomc定点数据类型7.1 定点数据的量化模式介绍7.2 量化模式SC_RND7.3 量化模式SC_RND_ZERO7.4 量化模式SC_RND_MIN_INF7.5 量化模式SC_RND_INF7.6 量化模式SC_RND_CONV7.7 量化模式SC_TRN7.8 量化模式SC_TRN_ZERO7.9 定点数据的溢出模式7.10 溢出模式SC_SAT7.11 溢出模式SC_SAT_ZERO7.12 溢出模式SC_SAT_SYM7.13 溢出模式SC WRAP7.14 溢出模式SC WRAP SM7.15 定点数据类型支持的运算符7.16 定点数据类型的状态信息7.17 将定点数据类型转换为字符串7.18 一个定点FIR滤波器设计实例7.19 本章小结7.20 习题第8章 systemC应用实例8.1 回到“Hello,SystemC”8.2 串口原理8.3 串口寄存器定义8.4 Wishbone总线简介8.5 处理器的电子系统级总线功能模型8.6 串口的设计8.7 SystemC和Verilog混合仿真8.8 本章小结8.9 习题本帖最后由 stobyxu 于
11:20 编辑
下载次数: 526
下载消耗 资产 1 信元&下载支出 -1 信元&
UID30407&帖子21&精华0&积分1418&资产1418 信元&发贴收入115 信元&推广收入0 信元&附件收入1371 信元&下载支出170 信元&阅读权限30&在线时间7 小时&注册时间&最后登录&
本帖最后由 stobyxu 于
11:21 编辑
下载次数: 237
下载消耗 资产 1 信元&下载支出 -1 信元&
UID741123&帖子2&精华0&积分20&资产20 信元&发贴收入10 信元&推广收入0 信元&附件收入0 信元&下载支出40 信元&阅读权限10&在线时间0 小时&注册时间&最后登录&
好东西,必须得下!!
UID176465&帖子100&精华0&积分3&资产3 信元&发贴收入520 信元&推广收入0 信元&附件收入0 信元&下载支出568 信元&阅读权限10&在线时间25 小时&注册时间&最后登录&
多谢LZ分享的好东西
UID743431&帖子48&精华0&积分0&资产0 信元&发贴收入245 信元&推广收入0 信元&附件收入0 信元&下载支出305 信元&阅读权限10&在线时间3 小时&注册时间&最后登录&
UID743431&帖子48&精华0&积分0&资产0 信元&发贴收入245 信元&推广收入0 信元&附件收入0 信元&下载支出305 信元&阅读权限10&在线时间3 小时&注册时间&最后登录&
& &thank you
UID56293&帖子61&精华0&积分203&资产203 信元&发贴收入310 信元&推广收入0 信元&附件收入0 信元&下载支出426 信元&阅读权限20&在线时间15 小时&注册时间&最后登录&
UID56293&帖子61&精华0&积分203&资产203 信元&发贴收入310 信元&推广收入0 信元&附件收入0 信元&下载支出426 信元&阅读权限20&在线时间15 小时&注册时间&最后登录&
& & 顶你 ,攒积分~~
UID56293&帖子61&精华0&积分203&资产203 信元&发贴收入310 信元&推广收入0 信元&附件收入0 信元&下载支出426 信元&阅读权限20&在线时间15 小时&注册时间&最后登录&
[通过 QQ、MSN 分享给朋友]
欢迎访问 TI SLL(信号链)专区SystemC基础教程(巴斯克尔)【电子书籍下载 epub txt pdf doc 】
书籍作者:
书籍出版:
清华大学出版社
书籍页数:
书籍ISBN:
书籍人气:
推荐指数:
SystemC基础教程本书主要介绍SystemC的硬件建模方面,即SystemC的RTL可综合子集。采用该子集编写的模型可以综合成逻辑门电路,进而进入模型的硬件实现阶段。  本书专门面向那些希望学习和了解SystemC的设计工程师和系统工程师。本书适合于初学者,可以作为高校的体系结构、数字设计和系统设计等课程的教材。J.Bhasker是Cadence Design Systems公司的资深设计师。他曾是朗讯科技贝尔实验室的杰出研究员。他撰写了多本关于硬件描述语言及综合的著作,包括畅销书“A VHDL Primer”和“A Verilog HDL Primer”。Bhasker在美国明尼苏达大学获得计算机科学博士学位,在新德里印度理工学院获得计算机技术硕士学位和电子工程学士学位。

我要回帖

 

随机推荐